From e94172c6af0727ddad64e6359158e3f173fd308a Mon Sep 17 00:00:00 2001 From: Kostis Sagonas Date: Mon, 15 Mar 2010 18:16:23 +0200 Subject: kernel: Add declaration for exported types --- lib/kernel/src/code.erl | 2 ++ lib/kernel/src/file.erl | 3 +++ lib/kernel/src/inet.erl | 2 ++ 3 files changed, 7 insertions(+) (limited to 'lib/kernel') diff --git a/lib/kernel/src/code.erl b/lib/kernel/src/code.erl index affa5fc0fd..42d4818f08 100644 --- a/lib/kernel/src/code.erl +++ b/lib/kernel/src/code.erl @@ -66,6 +66,8 @@ set_primary_archive/3, clash/0]). +-export_type([load_error_rsn/0, load_ret/0]). + -include_lib("kernel/include/file.hrl"). %% User interface. diff --git a/lib/kernel/src/file.erl b/lib/kernel/src/file.erl index a37614e424..4f49371970 100644 --- a/lib/kernel/src/file.erl +++ b/lib/kernel/src/file.erl @@ -61,6 +61,9 @@ -export([ipread_s32bu_p32bu_int/3]). +%% Types that can be used from other modules -- alphabetically ordered. +-export_type([date_time/0, fd/0, file_info/0, filename/0, io_device/0, + name/0, posix/0]). %%% Includes and defines -include("file.hrl"). diff --git a/lib/kernel/src/inet.erl b/lib/kernel/src/inet.erl index eb503235d8..93d75321ba 100644 --- a/lib/kernel/src/inet.erl +++ b/lib/kernel/src/inet.erl @@ -62,6 +62,8 @@ %% timer interface -export([start_timer/1, timeout/1, timeout/2, stop_timer/1]). +-export_type([ip_address/0, socket/0]). + %% imports -import(lists, [append/1, duplicate/2, filter/2, foldl/3]). -- cgit v1.2.3