From ae493322caac4bb5f118f39c8d1c05203c9f6170 Mon Sep 17 00:00:00 2001 From: Lukas Larsson Date: Tue, 14 Dec 2010 17:47:20 +0100 Subject: Fix formatting for stdlib --- lib/stdlib/test/id_transform_SUITE.erl | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) (limited to 'lib/stdlib/test/id_transform_SUITE.erl') diff --git a/lib/stdlib/test/id_transform_SUITE.erl b/lib/stdlib/test/id_transform_SUITE.erl index 739749ee7d..6ecacb4dd6 100644 --- a/lib/stdlib/test/id_transform_SUITE.erl +++ b/lib/stdlib/test/id_transform_SUITE.erl @@ -21,20 +21,21 @@ -include_lib("kernel/include/file.hrl"). --export([all/0, suite/0,groups/0,init_per_suite/1, end_per_suite/1, init_per_group/2,end_per_group/2, +-export([all/0, suite/0,groups/0,init_per_suite/1, end_per_suite/1, + init_per_group/2,end_per_group/2, id_transform/1]). -export([check/2,check2/1,g/0,f/1,t/1,t1/1,t2/1,t3/1,t4/1, t5/1,t6/1,apa/1,new_fun/0]). -% Serves as test... + % Serves as test... -hej(hopp). -include_lib("test_server/include/test_server.hrl"). suite() -> [{suite_callbacks,[ts_install_scb]}]. all() -> -[id_transform]. + [id_transform]. groups() -> []. @@ -46,10 +47,10 @@ end_per_suite(_Config) -> ok. init_per_group(_GroupName, Config) -> - Config. + Config. end_per_group(_GroupName, Config) -> - Config. + Config. id_transform(doc) -> "Test erl_id_trans."; -- cgit v1.2.3