aboutsummaryrefslogtreecommitdiffstats
path: root/erts/test/erl_print_SUITE_data
diff options
context:
space:
mode:
authorErlang/OTP <[email protected]>2009-11-20 14:54:40 +0000
committerErlang/OTP <[email protected]>2009-11-20 14:54:40 +0000
commit84adefa331c4159d432d22840663c38f155cd4c1 (patch)
treebff9a9c66adda4df2106dfd0e5c053ab182a12bd /erts/test/erl_print_SUITE_data
downloadotp-84adefa331c4159d432d22840663c38f155cd4c1.tar.gz
otp-84adefa331c4159d432d22840663c38f155cd4c1.tar.bz2
otp-84adefa331c4159d432d22840663c38f155cd4c1.zip
The R13B03 release.OTP_R13B03
Diffstat (limited to 'erts/test/erl_print_SUITE_data')
-rw-r--r--erts/test/erl_print_SUITE_data/Makefile.src45
-rw-r--r--erts/test/erl_print_SUITE_data/character_test.h586
-rw-r--r--erts/test/erl_print_SUITE_data/erl_print_tests.c560
-rw-r--r--erts/test/erl_print_SUITE_data/integer_64_test.h1106
-rw-r--r--erts/test/erl_print_SUITE_data/integer_test.h1106
-rw-r--r--erts/test/erl_print_SUITE_data/snprintf_test.h43
-rw-r--r--erts/test/erl_print_SUITE_data/string_test.h33
7 files changed, 3479 insertions, 0 deletions
diff --git a/erts/test/erl_print_SUITE_data/Makefile.src b/erts/test/erl_print_SUITE_data/Makefile.src
new file mode 100644
index 0000000000..109d55e572
--- /dev/null
+++ b/erts/test/erl_print_SUITE_data/Makefile.src
@@ -0,0 +1,45 @@
+#
+# %CopyrightBegin%
+#
+# Copyright Ericsson AB 2005-2009. All Rights Reserved.
+#
+# The contents of this file are subject to the Erlang Public License,
+# Version 1.1, (the "License"); you may not use this file except in
+# compliance with the License. You should have received a copy of the
+# Erlang Public License along with this software. If not, it can be
+# retrieved online at http://www.erlang.org/.
+#
+# Software distributed under the License is distributed on an "AS IS"
+# basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+# the License for the specific language governing rights and limitations
+# under the License.
+#
+# %CopyrightEnd%
+#
+
+include @erts_lib_include_internal_generated@@[email protected]
+
+CC = @CC@
+CFLAGS = @ERTS_CFLAGS@
+LIBS = @ERTS_LIBS@
+
+EPTF_CFLAGS = -Wall $(CFLAGS) @DEFS@ -I@erts_lib_include_internal@ -I@erts_lib_include_internal_generated@
+EPTF_LIBS = $(LIBS) -L@erts_lib_internal_path@ -lerts_internal@type_marker@
+
+EPTT_CFLAGS = -DTHREAD_SAFE $(ETHR_DEFS) $(EPTF_CFLAGS)
+EPTT_LIBS = $(LIBS) -L@erts_lib_internal_path@ -lerts_internal_r@type_marker@ $(ETHR_LIBS)
+
+GCC = .@DS@gccifier -CC"$(CC)"
+
+PROGS = erl_print_tests.@emu_threads@@exe@
+
+all: $(PROGS)
+
+gccifier@exe@: ..@DS@utils@[email protected]
+ $(CC) $(CFLAGS) -o gccifier@exe@ ..@DS@utils@[email protected] $(LIBS)
+
+erl_print_tests.false@exe@: gccifier@exe@ erl_print_tests.c
+ $(GCC) $(EPTF_CFLAGS) -o erl_print_tests.false@exe@ erl_print_tests.c $(EPTF_LIBS)
+
+erl_print_tests.true@exe@: gccifier@exe@ erl_print_tests.c
+ $(GCC) $(EPTT_CFLAGS) -o erl_print_tests.true@exe@ erl_print_tests.c $(EPTT_LIBS)
diff --git a/erts/test/erl_print_SUITE_data/character_test.h b/erts/test/erl_print_SUITE_data/character_test.h
new file mode 100644
index 0000000000..9c66618a71
--- /dev/null
+++ b/erts/test/erl_print_SUITE_data/character_test.h
@@ -0,0 +1,586 @@
+/*
+ * %CopyrightBegin%
+ *
+ * Copyright Ericsson AB 2005-2009. All Rights Reserved.
+ *
+ * The contents of this file are subject to the Erlang Public License,
+ * Version 1.1, (the "License"); you may not use this file except in
+ * compliance with the License. You should have received a copy of the
+ * Erlang Public License along with this software. If not, it can be
+ * retrieved online at http://www.erlang.org/.
+ *
+ * Software distributed under the License is distributed on an "AS IS"
+ * basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+ * the License for the specific language governing rights and limitations
+ * under the License.
+ *
+ * %CopyrightEnd%
+ */
+
+/*
+ * This file has been automatically generated. Do NOT edit it; instead,
+ * run 'erl_print_tests.false character save_expected_result'
+ */
+
+char *character_expected_result[] = {
+ "a",
+ "a ",
+ " a",
+ "a ",
+ " a",
+ "b",
+ "b ",
+ " b",
+ "b ",
+ " b",
+ "c",
+ "c ",
+ " c",
+ "c ",
+ " c",
+ "d",
+ "d ",
+ " d",
+ "d ",
+ " d",
+ "e",
+ "e ",
+ " e",
+ "e ",
+ " e",
+ "f",
+ "f ",
+ " f",
+ "f ",
+ " f",
+ "g",
+ "g ",
+ " g",
+ "g ",
+ " g",
+ "h",
+ "h ",
+ " h",
+ "h ",
+ " h",
+ "i",
+ "i ",
+ " i",
+ "i ",
+ " i",
+ "j",
+ "j ",
+ " j",
+ "j ",
+ " j",
+ "k",
+ "k ",
+ " k",
+ "k ",
+ " k",
+ "l",
+ "l ",
+ " l",
+ "l ",
+ " l",
+ "m",
+ "m ",
+ " m",
+ "m ",
+ " m",
+ "n",
+ "n ",
+ " n",
+ "n ",
+ " n",
+ "o",
+ "o ",
+ " o",
+ "o ",
+ " o",
+ "p",
+ "p ",
+ " p",
+ "p ",
+ " p",
+ "q",
+ "q ",
+ " q",
+ "q ",
+ " q",
+ "r",
+ "r ",
+ " r",
+ "r ",
+ " r",
+ "s",
+ "s ",
+ " s",
+ "s ",
+ " s",
+ "t",
+ "t ",
+ " t",
+ "t ",
+ " t",
+ "u",
+ "u ",
+ " u",
+ "u ",
+ " u",
+ "v",
+ "v ",
+ " v",
+ "v ",
+ " v",
+ "w",
+ "w ",
+ " w",
+ "w ",
+ " w",
+ "x",
+ "x ",
+ " x",
+ "x ",
+ " x",
+ "y",
+ "y ",
+ " y",
+ "y ",
+ " y",
+ "z",
+ "z ",
+ " z",
+ "z ",
+ " z",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "A",
+ "A ",
+ " A",
+ "A ",
+ " A",
+ "B",
+ "B ",
+ " B",
+ "B ",
+ " B",
+ "C",
+ "C ",
+ " C",
+ "C ",
+ " C",
+ "D",
+ "D ",
+ " D",
+ "D ",
+ " D",
+ "E",
+ "E ",
+ " E",
+ "E ",
+ " E",
+ "F",
+ "F ",
+ " F",
+ "F ",
+ " F",
+ "G",
+ "G ",
+ " G",
+ "G ",
+ " G",
+ "H",
+ "H ",
+ " H",
+ "H ",
+ " H",
+ "I",
+ "I ",
+ " I",
+ "I ",
+ " I",
+ "J",
+ "J ",
+ " J",
+ "J ",
+ " J",
+ "K",
+ "K ",
+ " K",
+ "K ",
+ " K",
+ "L",
+ "L ",
+ " L",
+ "L ",
+ " L",
+ "M",
+ "M ",
+ " M",
+ "M ",
+ " M",
+ "N",
+ "N ",
+ " N",
+ "N ",
+ " N",
+ "O",
+ "O ",
+ " O",
+ "O ",
+ " O",
+ "P",
+ "P ",
+ " P",
+ "P ",
+ " P",
+ "Q",
+ "Q ",
+ " Q",
+ "Q ",
+ " Q",
+ "R",
+ "R ",
+ " R",
+ "R ",
+ " R",
+ "S",
+ "S ",
+ " S",
+ "S ",
+ " S",
+ "T",
+ "T ",
+ " T",
+ "T ",
+ " T",
+ "U",
+ "U ",
+ " U",
+ "U ",
+ " U",
+ "V",
+ "V ",
+ " V",
+ "V ",
+ " V",
+ "X",
+ "X ",
+ " X",
+ "X ",
+ " X",
+ "Y",
+ "Y ",
+ " Y",
+ "Y ",
+ " Y",
+ "Z",
+ "Z ",
+ " Z",
+ "Z ",
+ " Z",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "1",
+ "1 ",
+ " 1",
+ "1 ",
+ " 1",
+ "2",
+ "2 ",
+ " 2",
+ "2 ",
+ " 2",
+ "3",
+ "3 ",
+ " 3",
+ "3 ",
+ " 3",
+ "4",
+ "4 ",
+ " 4",
+ "4 ",
+ " 4",
+ "5",
+ "5 ",
+ " 5",
+ "5 ",
+ " 5",
+ "6",
+ "6 ",
+ " 6",
+ "6 ",
+ " 6",
+ "7",
+ "7 ",
+ " 7",
+ "7 ",
+ " 7",
+ "8",
+ "8 ",
+ " 8",
+ "8 ",
+ " 8",
+ "9",
+ "9 ",
+ " 9",
+ "9 ",
+ " 9",
+ "0",
+ "0 ",
+ " 0",
+ "0 ",
+ " 0",
+ "(",
+ "( ",
+ " (",
+ "( ",
+ " (",
+ ")",
+ ") ",
+ " )",
+ ") ",
+ " )",
+ "[",
+ "[ ",
+ " [",
+ "[ ",
+ " [",
+ "]",
+ "] ",
+ " ]",
+ "] ",
+ " ]",
+ "{",
+ "{ ",
+ " {",
+ "{ ",
+ " {",
+ "}",
+ "} ",
+ " }",
+ "} ",
+ " }",
+ "+",
+ "+ ",
+ " +",
+ "+ ",
+ " +",
+ "-",
+ "- ",
+ " -",
+ "- ",
+ " -",
+ ";",
+ "; ",
+ " ;",
+ "; ",
+ " ;",
+ ",",
+ ", ",
+ " ,",
+ ", ",
+ " ,",
+ ":",
+ ": ",
+ " :",
+ ": ",
+ " :",
+ ".",
+ ". ",
+ " .",
+ ". ",
+ " .",
+ "@",
+ "@ ",
+ " @",
+ "@ ",
+ " @",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "$",
+ "$ ",
+ " $",
+ "$ ",
+ " $",
+ "!",
+ "! ",
+ " !",
+ "! ",
+ " !",
+ "\"",
+ "\" ",
+ " \"",
+ "\" ",
+ " \"",
+ "#",
+ "# ",
+ " #",
+ "# ",
+ " #",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "%",
+ "% ",
+ " %",
+ "% ",
+ " %",
+ "&",
+ "& ",
+ " &",
+ "& ",
+ " &",
+ "/",
+ "/ ",
+ " /",
+ "/ ",
+ " /",
+ "\\",
+ "\\ ",
+ " \\",
+ "\\ ",
+ " \\",
+ "=",
+ "= ",
+ " =",
+ "= ",
+ " =",
+ "?",
+ "? ",
+ " ?",
+ "? ",
+ " ?",
+ "'",
+ "' ",
+ " '",
+ "' ",
+ " '",
+ "`",
+ "` ",
+ " `",
+ "` ",
+ " `",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "^",
+ "^ ",
+ " ^",
+ "^ ",
+ " ^",
+ "~",
+ "~ ",
+ " ~",
+ "~ ",
+ " ~",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "|",
+ "| ",
+ " |",
+ "| ",
+ " |",
+ "<",
+ "< ",
+ " <",
+ "< ",
+ " <",
+ ">",
+ "> ",
+ " >",
+ "> ",
+ " >",
+ "�",
+ "� ",
+ " �",
+ "� ",
+ " �",
+ "*",
+ "* ",
+ " *",
+ "* ",
+ " *",
+ "_",
+ "_ ",
+ " _",
+ "_ ",
+ " _",
+ "\a",
+ "\a ",
+ " \a",
+ "\a ",
+ " \a",
+ "\b",
+ "\b ",
+ " \b",
+ "\b ",
+ " \b",
+ "\f",
+ "\f ",
+ " \f",
+ "\f ",
+ " \f",
+ "\n",
+ "\n ",
+ " \n",
+ "\n ",
+ " \n",
+ "\r",
+ "\r ",
+ " \r",
+ "\r ",
+ " \r",
+ "\t",
+ "\t ",
+ " \t",
+ "\t ",
+ " \t",
+ "\v",
+ "\v ",
+ " \v",
+ "\v ",
+ " \v",
+ NULL};
diff --git a/erts/test/erl_print_SUITE_data/erl_print_tests.c b/erts/test/erl_print_SUITE_data/erl_print_tests.c
new file mode 100644
index 0000000000..28ce78f4e1
--- /dev/null
+++ b/erts/test/erl_print_SUITE_data/erl_print_tests.c
@@ -0,0 +1,560 @@
+/*
+ * %CopyrightBegin%
+ *
+ * Copyright Ericsson AB 2005-2009. All Rights Reserved.
+ *
+ * The contents of this file are subject to the Erlang Public License,
+ * Version 1.1, (the "License"); you may not use this file except in
+ * compliance with the License. You should have received a copy of the
+ * Erlang Public License along with this software. If not, it can be
+ * retrieved online at http://www.erlang.org/.
+ *
+ * Software distributed under the License is distributed on an "AS IS"
+ * basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+ * the License for the specific language governing rights and limitations
+ * under the License.
+ *
+ * %CopyrightEnd%
+ */
+
+/*
+ * Description: Test suite for the ethread thread library.
+ * Author: Rickard Green
+ */
+
+#include <stdio.h>
+#include <stdlib.h>
+#include <stdarg.h>
+#include <string.h>
+#include <limits.h>
+#include <float.h>
+#ifndef __WIN32__
+#include <unistd.h>
+#endif
+
+#include "erl_printf.h"
+#ifdef THREAD_SAFE
+#include "ethread.h"
+#endif
+
+#ifdef __WIN32__
+#undef HAVE_VSNPRINTF
+#define HAVE_VSNPRINTF 1
+#define vsnprintf _vsnprintf
+#endif
+
+#ifdef __WIN32__
+#define signed_long_long LONGLONG
+#define unsigned_long_long ULONGLONG
+#else
+#define signed_long_long signed long long
+#define unsigned_long_long unsigned long long
+#endif
+
+/*
+ * Auxiliary functions
+ */
+
+#define PRINT_VA_LIST(FRMT) \
+do { \
+ if (FRMT && FRMT != '\0') { \
+ va_list args; \
+ va_start(args, FRMT); \
+ vfprintf(stderr, FRMT, args); \
+ va_end(args); \
+ } \
+} while (0)
+
+#define ASSERT(B) \
+do { \
+ if (!(B)) \
+ fail("%s:%d: Assertion \"%s\" failed!",__FILE__,__LINE__,#B); \
+} while (0)
+
+static void
+print_eol(void)
+{
+ fprintf(stderr, "\n");
+}
+
+static void print_line(char *frmt,...)
+{
+ PRINT_VA_LIST(frmt);
+ print_eol();
+}
+
+static void print(char *frmt,...)
+{
+ PRINT_VA_LIST(frmt);
+}
+
+static void fail(char *frmt,...)
+{
+ char *abrt_env;
+ print_eol();
+ fprintf(stderr, "EP-TEST-FAILURE");
+ PRINT_VA_LIST(frmt);
+ print_eol();
+ abrt_env = getenv("ERL_ABORT_ON_FAILURE");
+ if (abrt_env && strcmp("true", abrt_env) == 0)
+ abort();
+ else
+ exit(1);
+}
+
+static void skip(char *frmt,...)
+{
+ print_eol();
+ fprintf(stderr, "EP-TEST-SKIP");
+ PRINT_VA_LIST(frmt);
+ print_eol();
+ exit(0);
+}
+
+static void succeed(char *frmt,...)
+{
+ print_eol();
+ fprintf(stderr, "EP-TEST-SUCCESS");
+ PRINT_VA_LIST(frmt);
+ print_eol();
+ exit(0);
+}
+
+#if 0 /* Not used */
+
+static void
+do_sleep(unsigned secs)
+{
+#ifdef __WIN32__
+ Sleep((DWORD) secs*1000);
+#else
+ sleep(secs);
+#endif
+}
+
+#endif
+
+static void
+send_my_pid(void)
+{
+#ifndef __WIN32__
+ int pid = (int) getpid();
+ fprintf(stderr, "\nEP-TEST-PID%d\n", pid);
+#endif
+}
+
+#define BUF_SIZE (1024*1024)
+
+FILE *outfile = NULL;
+char **expected_result;
+
+#define FENCE_SIZE 512
+static void
+print_cmp_test(int n, char *frmt, ...)
+{
+ int res = -1;
+ static char clib_buf[BUF_SIZE];
+ static unsigned char the_erts_buf[BUF_SIZE];
+ char *erts_buf = (char *) &the_erts_buf[FENCE_SIZE];
+ va_list args;
+
+ if (outfile) {
+ char *fp, *tp;
+ va_start(args, frmt);
+ if (n < 0)
+ res = vsprintf(erts_buf, frmt, args);
+ else {
+#ifdef HAVE_VSNPRINTF
+ res = vsnprintf(erts_buf, (size_t) n, frmt, args);
+#else
+ fail("No vsnprintf()");
+#endif
+ }
+ va_end(args);
+ ASSERT(res >= 0);
+ fp = erts_buf;
+ tp = clib_buf;
+ while (*fp) {
+ switch (*fp) {
+ case '\a': *(tp++) = '\\'; *(tp++) = 'a'; break;
+ case '\b': *(tp++) = '\\'; *(tp++) = 'b'; break;
+ case '\f': *(tp++) = '\\'; *(tp++) = 'f'; break;
+ case '\n': *(tp++) = '\\'; *(tp++) = 'n'; break;
+ case '\r': *(tp++) = '\\'; *(tp++) = 'r'; break;
+ case '\t': *(tp++) = '\\'; *(tp++) = 't'; break;
+ case '\v': *(tp++) = '\\'; *(tp++) = 'v'; break;
+ case '\"': *(tp++) = '\\'; *(tp++) = '\"'; break;
+ case '\\': *(tp++) = '\\'; *(tp++) = '\\'; break;
+ default: *(tp++) = *fp; break;
+ }
+ fp++;
+ }
+ *tp = '\0';
+ res = fprintf(outfile, "\t\"%s\",\n", clib_buf);
+ ASSERT(res >= 0);
+ }
+ else {
+ char *xres;
+ va_start(args, frmt);
+ if (n < 0)
+ res = erts_vsprintf(erts_buf, frmt, args);
+ else {
+ int i;
+ int chk_sz = 2*FENCE_SIZE + n;
+ for (i = 0; i < chk_sz; i++)
+ the_erts_buf[i] = 0xeb;
+ res = erts_vsnprintf(erts_buf, (size_t) n, frmt, args);
+ for (i = 0; i < chk_sz; i++)
+ if ((((char *) &the_erts_buf[i]) < erts_buf
+ || erts_buf + n <= ((char *) &the_erts_buf[i]))
+ && the_erts_buf[i] != 0xeb) {
+ int j;
+ for (j = 0; j < chk_sz; j++)
+ print(j ? ",%x(%d)" : "%x(%d)",
+ (unsigned) the_erts_buf[j], j - FENCE_SIZE);
+ print_eol();
+ fail("Garbage written out of bounds (%d,%d)",
+ i - FENCE_SIZE, n);
+ }
+ }
+ va_end(args);
+ ASSERT(res >= 0);
+
+ if (expected_result) {
+ ASSERT(*expected_result);
+ xres = *expected_result;
+ expected_result++;
+ }
+ else {
+ va_start(args, frmt);
+ if (n < 0)
+ res = vsprintf(clib_buf, frmt, args);
+ else {
+#ifdef HAVE_VSNPRINTF
+ res = vsnprintf(clib_buf, (size_t) n, frmt, args);
+#else
+ fail("No vsnprintf()");
+#endif
+ }
+ va_end(args);
+ ASSERT(res >= 0);
+ xres = clib_buf;
+ }
+
+ if (strcmp(xres, erts_buf) != 0) {
+ print_line("expected result : \"%s\"", xres);
+ print_line("erts_buf : \"%s\"", erts_buf);
+ fail("\"%s\" != \"%s\" (format=\"%s\")", xres, erts_buf, frmt);
+ }
+
+ print_line("Checked format \"%s\" with result: \"%s\"", frmt, erts_buf);
+ }
+}
+
+/*
+ * The test-cases
+ */
+
+#include "integer_64_test.h"
+#include "integer_test.h"
+
+#define INT_SUB_BATCH_TEST(FRMT, TYPE) \
+ print_cmp_test(-1, FRMT, ((TYPE) 4711)); \
+ print_cmp_test(-1, FRMT, ~((TYPE) 4711)); \
+ print_cmp_test(-1, FRMT, (~((TYPE) 0))/2 + (~((TYPE) 0))/4);\
+ print_cmp_test(-1, FRMT, ((TYPE) - 1)); \
+ print_cmp_test(-1, FRMT, ((TYPE) 1)); \
+ print_cmp_test(-1, FRMT, ((TYPE) ((long) 0xabcdef01))); \
+
+#define INT_BATCH_TEST(P, X, S) \
+ print_line("%s:%d",__FILE__,__LINE__); \
+ INT_SUB_BATCH_TEST("%" P "h" X, S char); \
+ INT_SUB_BATCH_TEST("%" P "h" X, S short); \
+ INT_SUB_BATCH_TEST("%" P X, S int); \
+ INT_SUB_BATCH_TEST("%" P "l" X, S long); \
+ INT_SUB_BATCH_TEST("%" P "ll" X, S ## _long_long); \
+
+static void
+integer_test(void)
+{
+ /* This testcase should be rewritten. It assumes the following
+ sizes of types... */
+ if (sizeof(char) != 1
+ || sizeof(short) != 2
+ || sizeof(int) != 4
+ || sizeof(long) != (sizeof(void *) == 8 ? 8 : 4)
+ || sizeof(signed_long_long) != 8)
+ skip("Unexpected size of primitive datatype:"
+ " sizeof(char) == %d (expected 1);"
+ " sizeof(short) == %d (expected 2);"
+ " sizeof(int) == %d (expected 4);"
+ " sizeof(long) == %d (expected %d);"
+ " sizeof(signed_long_long) == %d (expected 8)",
+ sizeof(char),
+ sizeof(short),
+ sizeof(int),
+ sizeof(long), sizeof(void *) == 8 ? 8 : 4,
+ sizeof(signed_long_long));
+
+ expected_result = (sizeof(void *) == 8
+ ? integer_64_expected_result
+ : integer_expected_result);
+
+ INT_BATCH_TEST("", "i", signed);
+ INT_BATCH_TEST("", "d", signed);
+ INT_BATCH_TEST("", "u", unsigned);
+ INT_BATCH_TEST("", "o", unsigned);
+ INT_BATCH_TEST("", "x", unsigned);
+ INT_BATCH_TEST("", "X", unsigned);
+ INT_BATCH_TEST("010.5", "i", signed);
+ INT_BATCH_TEST("010.5", "d", signed);
+ INT_BATCH_TEST("010.5", "u", unsigned);
+ INT_BATCH_TEST("010.5", "o", unsigned);
+ INT_BATCH_TEST("010.5", "x", unsigned);
+ INT_BATCH_TEST("010.5", "X", unsigned);
+ INT_BATCH_TEST("-+29", "i", signed);
+ INT_BATCH_TEST("-+29", "d", signed);
+ INT_BATCH_TEST("-29", "u", unsigned);
+ INT_BATCH_TEST("-29", "o", unsigned);
+ INT_BATCH_TEST("-29", "x", unsigned);
+ INT_BATCH_TEST("-29", "X", unsigned);
+ INT_BATCH_TEST("22.8", "i", signed);
+ INT_BATCH_TEST("22.8", "d", signed);
+ INT_BATCH_TEST("22.8", "u", unsigned);
+ INT_BATCH_TEST("22.8", "o", unsigned);
+ INT_BATCH_TEST("22.8", "x", unsigned);
+ INT_BATCH_TEST("22.8", "X", unsigned);
+ INT_BATCH_TEST("-22.8", "i", signed);
+ INT_BATCH_TEST("-22.8", "d", signed);
+ INT_BATCH_TEST("-22.8", "u", unsigned);
+ INT_BATCH_TEST("-22.8", "o", unsigned);
+ INT_BATCH_TEST("-22.8", "x", unsigned);
+ INT_BATCH_TEST("-22.8", "X", unsigned);
+ INT_BATCH_TEST("-823.193", "i", signed);
+ INT_BATCH_TEST("-823.193", "d", signed);
+ INT_BATCH_TEST("-823.193", "u", unsigned);
+ INT_BATCH_TEST("-823.193", "o", unsigned);
+ INT_BATCH_TEST("-823.193", "x", unsigned);
+ INT_BATCH_TEST("-823.193", "X", unsigned);
+
+}
+
+static void
+float_test(void)
+{
+ expected_result = NULL;
+ print_cmp_test(-1, "%70.10f", DBL_MAX);
+ print_cmp_test(-1, "%500.10f", DBL_MAX);
+ print_cmp_test(-1, "%-500.10f", DBL_MAX);
+ print_cmp_test(-1, "%500.10e", DBL_MAX);
+ print_cmp_test(-1, "%-500.10e", DBL_MAX);
+ print_cmp_test(-1, "%500.10E", DBL_MAX);
+ print_cmp_test(-1, "%-500.10E", DBL_MAX);
+ print_cmp_test(-1, "%500.10g", DBL_MAX);
+ print_cmp_test(-1, "%-500.10g", DBL_MAX);
+ print_cmp_test(-1, "%500.10G", DBL_MAX);
+ print_cmp_test(-1, "%-500.10G", DBL_MAX);
+}
+
+char some_characters[] =
+"abcdefghijklmnopqrstuvwxyz���"
+"ABCDEFGHIJKLMNOPQRSTUVXYZ���"
+"1234567890"
+"()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_"
+"\a\b\f\n\r\t\v";
+
+#include "string_test.h"
+
+static void
+string_test(void)
+{
+ expected_result = string_expected_result;
+ print_cmp_test(-1, "%s", "hej");
+ print_cmp_test(-1, "%-10.5s", "hopp");
+ print_cmp_test(-1, "%10.5s", "hopp");
+ print_cmp_test(-1, "%-500.500s", "hopp");
+ print_cmp_test(-1, "%500.500s", "hopp");
+ print_cmp_test(-1, "\t%10.4s", some_characters);
+ print_cmp_test(-1, "\t%500.500s", some_characters);
+}
+
+#include "character_test.h"
+
+static void
+character_test(void)
+{
+ char *cp;
+ expected_result = character_expected_result;
+ for (cp = some_characters; *cp; cp++) {
+ print_cmp_test(-1, "%c", *cp);
+ print_cmp_test(-1, "%-10.5c", *cp);
+ print_cmp_test(-1, "%10.5c", *cp);
+ print_cmp_test(-1, "%-500.500c", *cp);
+ print_cmp_test(-1, "%500.500c", *cp);
+ }
+}
+
+#include "snprintf_test.h"
+
+static void
+snprintf_test(void)
+{
+ expected_result = snprintf_expected_result;
+ print_cmp_test(6, "hej hopp");
+ print_cmp_test(7, "hej hopp");
+ print_cmp_test(8, "hej hopp");
+ print_cmp_test(9, "hej hopp");
+ print_cmp_test(10, "hej hopp");
+ print_cmp_test(6, "hej %d", 4711);
+ print_cmp_test(7, "hej %d", 4711);
+ print_cmp_test(8, "hej %d", 4711);
+ print_cmp_test(9, "hej %d", 4711);
+ print_cmp_test(10, "hej %d", 4711);
+ print_cmp_test(sizeof(some_characters)-2, "%s", some_characters);
+ print_cmp_test(sizeof(some_characters)-1, "%s", some_characters);
+ print_cmp_test(sizeof(some_characters), "%s", some_characters);
+ print_cmp_test(sizeof(some_characters)+1, "%s", some_characters);
+ print_cmp_test(sizeof(some_characters)+2, "%s", some_characters);
+ print_cmp_test(sizeof(some_characters)/2, "%s%s",
+ some_characters, some_characters);
+ print_cmp_test(sizeof(some_characters)*3, "%s%s",
+ some_characters, some_characters);
+}
+
+static void
+quote_test(void)
+{
+ expected_result = NULL;
+ print_cmp_test(-1, "\n");
+ print_cmp_test(-1, "\\n");
+ print_cmp_test(-1, "\r");
+ print_cmp_test(-1, "\\r");
+ print_cmp_test(-1, "\t");
+ print_cmp_test(-1, "\\t");
+ print_cmp_test(-1, "\v");
+ print_cmp_test(-1, "\\v");
+ print_cmp_test(-1, "\b");
+ print_cmp_test(-1, "\\b");
+ print_cmp_test(-1, "\f");
+ print_cmp_test(-1, "\\f");
+ print_cmp_test(-1, "\x80");
+ print_cmp_test(-1, "\\x80");
+ print_cmp_test(-1, "\x14");
+ print_cmp_test(-1, "\\x14");
+ print_cmp_test(-1, "\xff");
+ print_cmp_test(-1, "\\xff");
+ print_cmp_test(-1, "\043");
+ print_cmp_test(-1, "\\043");
+ print_cmp_test(-1, "\053");
+ print_cmp_test(-1, "\\053");
+ print_cmp_test(-1, "\0143");
+ print_cmp_test(-1, "\\0143");
+ print_cmp_test(-1, "\\lf");
+ print_cmp_test(-1, "\\msss");
+ print_cmp_test(-1, "\\ss");
+
+}
+
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * The dispatcher *
+\* */
+
+int
+main(int argc, char *argv[])
+{
+ if (argc < 2)
+ fail("To few arguments for test case");
+
+ {
+ char *testcase;
+ int save_xres = 0;
+ int i;
+
+ send_my_pid();
+
+ testcase = argv[1];
+#ifdef THREAD_SAFE
+ {
+ int res = ethr_init(NULL);
+ if (res != 0)
+ fail("Failed to initialize the ethread library");
+ }
+#endif
+
+ for (i = 2; i < argc; i++) {
+ if (strcmp(argv[i], "save_expected_result") == 0) {
+ save_xres = 1;
+ break;
+ }
+ }
+
+ if (save_xres) {
+ char filename[100];
+ sprintf(filename,
+ "%s%s_test.h",
+ testcase,
+ sizeof(void *) == 8 ? "_64" : "");
+ printf("Saving expected result to %s\n", filename);
+ outfile = fopen(filename, "w");
+ ASSERT(outfile);
+ fprintf(outfile,
+ "/*\n"
+ " * %%CopyrightBegin%%\n"
+ " * Copyright Ericsson AB 1996-2009. All Rights Reserved.\n"
+ " * \n"
+ " * The contents of this file are subject to the Erlang Public License,\n"
+ " * Version 1.1, (the \"License\"); you may not use this file except in\n"
+ " * compliance with the License. You should have received a copy of the\n"
+ " * Erlang Public License along with this software. If not, it can be\n"
+ " * retrieved online at http://www.erlang.org/.\n"
+ " * \n"
+ " * Software distributed under the License is distributed on an \"AS IS\"\n"
+ " * basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See\n"
+ " * the License for the specific language governing rights and limitations\n"
+ " * under the License.\n"
+ " * %%CopyrightEnd%%\n"
+ " */\n"
+ "\n");
+ fprintf(outfile,
+ "/* \n"
+ " * This file has been automatically generated. Do NOT edit it; instead,\n"
+ " * run '%s %s save_expected_result'%s.\n"
+ " */\n"
+ "\n",
+ argv[0],
+ testcase,
+ sizeof(void *) == 8 ? " on a 64-bit machine" : "");
+ fprintf(outfile,
+ "char *%s%s_expected_result[] = {\n",
+ testcase,
+ sizeof(void *) == 8 ? "_64" : "");
+ }
+
+ if (strcmp("integer", testcase) == 0)
+ integer_test();
+ else if (strcmp("float", testcase) == 0)
+ float_test();
+ else if (strcmp("string", testcase) == 0)
+ string_test();
+ else if (strcmp("character", testcase) == 0)
+ character_test();
+ else if (strcmp("snprintf", testcase) == 0)
+ snprintf_test();
+ else if (strcmp("quote", testcase) == 0)
+ quote_test();
+ else if (!save_xres)
+ skip("Test case \"%s\" not implemented yet", testcase);
+
+ if (save_xres) {
+ fprintf(outfile, "\tNULL};\n");
+ fclose(outfile);
+ }
+
+ succeed(NULL);
+ }
+
+ return 0;
+}
+
+
+
diff --git a/erts/test/erl_print_SUITE_data/integer_64_test.h b/erts/test/erl_print_SUITE_data/integer_64_test.h
new file mode 100644
index 0000000000..0df09ded44
--- /dev/null
+++ b/erts/test/erl_print_SUITE_data/integer_64_test.h
@@ -0,0 +1,1106 @@
+/*
+ * %CopyrightBegin%
+ *
+ * Copyright Ericsson AB 2005-2009. All Rights Reserved.
+ *
+ * The contents of this file are subject to the Erlang Public License,
+ * Version 1.1, (the "License"); you may not use this file except in
+ * compliance with the License. You should have received a copy of the
+ * Erlang Public License along with this software. If not, it can be
+ * retrieved online at http://www.erlang.org/.
+ *
+ * Software distributed under the License is distributed on an "AS IS"
+ * basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+ * the License for the specific language governing rights and limitations
+ * under the License.
+ *
+ * %CopyrightEnd%
+ */
+
+/*
+ * This file has been automatically generated. Do NOT edit it; instead,
+ * run 'erl_print_tests.true integer save_expected_result' on a 64-bit machine.
+ */
+
+char *integer_64_expected_result[] = {
+ "103",
+ "-104",
+ "0",
+ "-1",
+ "1",
+ "1",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-4351",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "2882400001",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "2882400001",
+ "103",
+ "-104",
+ "0",
+ "-1",
+ "1",
+ "1",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-4351",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "2882400001",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "2882400001",
+ "103",
+ "65432",
+ "0",
+ "255",
+ "1",
+ "1",
+ "4711",
+ "60824",
+ "0",
+ "65535",
+ "1",
+ "61185",
+ "4711",
+ "4294962584",
+ "3221225470",
+ "4294967295",
+ "1",
+ "2882400001",
+ "4711",
+ "18446744073709546904",
+ "13835058055282163710",
+ "18446744073709551615",
+ "1",
+ "2882400001",
+ "4711",
+ "18446744073709546904",
+ "13835058055282163710",
+ "18446744073709551615",
+ "1",
+ "2882400001",
+ "147",
+ "177630",
+ "0",
+ "377",
+ "1",
+ "1",
+ "11147",
+ "166630",
+ "0",
+ "177777",
+ "1",
+ "167401",
+ "11147",
+ "37777766630",
+ "27777777776",
+ "37777777777",
+ "1",
+ "25363367401",
+ "11147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ "1",
+ "25363367401",
+ "11147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ "1",
+ "25363367401",
+ "67",
+ "ff98",
+ "0",
+ "ff",
+ "1",
+ "1",
+ "1267",
+ "ed98",
+ "0",
+ "ffff",
+ "1",
+ "ef01",
+ "1267",
+ "ffffed98",
+ "bffffffe",
+ "ffffffff",
+ "1",
+ "abcdef01",
+ "1267",
+ "ffffffffffffed98",
+ "bffffffffffffffe",
+ "ffffffffffffffff",
+ "1",
+ "abcdef01",
+ "1267",
+ "ffffffffffffed98",
+ "bffffffffffffffe",
+ "ffffffffffffffff",
+ "1",
+ "abcdef01",
+ "67",
+ "FF98",
+ "0",
+ "FF",
+ "1",
+ "1",
+ "1267",
+ "ED98",
+ "0",
+ "FFFF",
+ "1",
+ "EF01",
+ "1267",
+ "FFFFED98",
+ "BFFFFFFE",
+ "FFFFFFFF",
+ "1",
+ "ABCDEF01",
+ "1267",
+ "FFFFFFFFFFFFED98",
+ "BFFFFFFFFFFFFFFE",
+ "FFFFFFFFFFFFFFFF",
+ "1",
+ "ABCDEF01",
+ "1267",
+ "FFFFFFFFFFFFED98",
+ "BFFFFFFFFFFFFFFE",
+ "FFFFFFFFFFFFFFFF",
+ "1",
+ "ABCDEF01",
+ " 00103",
+ " -00104",
+ " 00000",
+ " -00001",
+ " 00001",
+ " 00001",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ " -04351",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "2882400001",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "2882400001",
+ " 00103",
+ " -00104",
+ " 00000",
+ " -00001",
+ " 00001",
+ " 00001",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ " -04351",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "2882400001",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "2882400001",
+ " 00103",
+ " 65432",
+ " 00000",
+ " 00255",
+ " 00001",
+ " 00001",
+ " 04711",
+ " 60824",
+ " 00000",
+ " 65535",
+ " 00001",
+ " 61185",
+ " 04711",
+ "4294962584",
+ "3221225470",
+ "4294967295",
+ " 00001",
+ "2882400001",
+ " 04711",
+ "18446744073709546904",
+ "13835058055282163710",
+ "18446744073709551615",
+ " 00001",
+ "2882400001",
+ " 04711",
+ "18446744073709546904",
+ "13835058055282163710",
+ "18446744073709551615",
+ " 00001",
+ "2882400001",
+ " 00147",
+ " 177630",
+ " 00000",
+ " 00377",
+ " 00001",
+ " 00001",
+ " 11147",
+ " 166630",
+ " 00000",
+ " 177777",
+ " 00001",
+ " 167401",
+ " 11147",
+ "37777766630",
+ "27777777776",
+ "37777777777",
+ " 00001",
+ "25363367401",
+ " 11147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ " 00001",
+ "25363367401",
+ " 11147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ " 00001",
+ "25363367401",
+ " 00067",
+ " 0ff98",
+ " 00000",
+ " 000ff",
+ " 00001",
+ " 00001",
+ " 01267",
+ " 0ed98",
+ " 00000",
+ " 0ffff",
+ " 00001",
+ " 0ef01",
+ " 01267",
+ " ffffed98",
+ " bffffffe",
+ " ffffffff",
+ " 00001",
+ " abcdef01",
+ " 01267",
+ "ffffffffffffed98",
+ "bffffffffffffffe",
+ "ffffffffffffffff",
+ " 00001",
+ " abcdef01",
+ " 01267",
+ "ffffffffffffed98",
+ "bffffffffffffffe",
+ "ffffffffffffffff",
+ " 00001",
+ " abcdef01",
+ " 00067",
+ " 0FF98",
+ " 00000",
+ " 000FF",
+ " 00001",
+ " 00001",
+ " 01267",
+ " 0ED98",
+ " 00000",
+ " 0FFFF",
+ " 00001",
+ " 0EF01",
+ " 01267",
+ " FFFFED98",
+ " BFFFFFFE",
+ " FFFFFFFF",
+ " 00001",
+ " ABCDEF01",
+ " 01267",
+ "FFFFFFFFFFFFED98",
+ "BFFFFFFFFFFFFFFE",
+ "FFFFFFFFFFFFFFFF",
+ " 00001",
+ " ABCDEF01",
+ " 01267",
+ "FFFFFFFFFFFFED98",
+ "BFFFFFFFFFFFFFFE",
+ "FFFFFFFFFFFFFFFF",
+ " 00001",
+ " ABCDEF01",
+ "+103 ",
+ "-104 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+1 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-4351 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+2882400001 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+2882400001 ",
+ "+103 ",
+ "-104 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+1 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-4351 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+2882400001 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+2882400001 ",
+ "103 ",
+ "65432 ",
+ "0 ",
+ "255 ",
+ "1 ",
+ "1 ",
+ "4711 ",
+ "60824 ",
+ "0 ",
+ "65535 ",
+ "1 ",
+ "61185 ",
+ "4711 ",
+ "4294962584 ",
+ "3221225470 ",
+ "4294967295 ",
+ "1 ",
+ "2882400001 ",
+ "4711 ",
+ "18446744073709546904 ",
+ "13835058055282163710 ",
+ "18446744073709551615 ",
+ "1 ",
+ "2882400001 ",
+ "4711 ",
+ "18446744073709546904 ",
+ "13835058055282163710 ",
+ "18446744073709551615 ",
+ "1 ",
+ "2882400001 ",
+ "147 ",
+ "177630 ",
+ "0 ",
+ "377 ",
+ "1 ",
+ "1 ",
+ "11147 ",
+ "166630 ",
+ "0 ",
+ "177777 ",
+ "1 ",
+ "167401 ",
+ "11147 ",
+ "37777766630 ",
+ "27777777776 ",
+ "37777777777 ",
+ "1 ",
+ "25363367401 ",
+ "11147 ",
+ "1777777777777777766630 ",
+ "1377777777777777777776 ",
+ "1777777777777777777777 ",
+ "1 ",
+ "25363367401 ",
+ "11147 ",
+ "1777777777777777766630 ",
+ "1377777777777777777776 ",
+ "1777777777777777777777 ",
+ "1 ",
+ "25363367401 ",
+ "67 ",
+ "ff98 ",
+ "0 ",
+ "ff ",
+ "1 ",
+ "1 ",
+ "1267 ",
+ "ed98 ",
+ "0 ",
+ "ffff ",
+ "1 ",
+ "ef01 ",
+ "1267 ",
+ "ffffed98 ",
+ "bffffffe ",
+ "ffffffff ",
+ "1 ",
+ "abcdef01 ",
+ "1267 ",
+ "ffffffffffffed98 ",
+ "bffffffffffffffe ",
+ "ffffffffffffffff ",
+ "1 ",
+ "abcdef01 ",
+ "1267 ",
+ "ffffffffffffed98 ",
+ "bffffffffffffffe ",
+ "ffffffffffffffff ",
+ "1 ",
+ "abcdef01 ",
+ "67 ",
+ "FF98 ",
+ "0 ",
+ "FF ",
+ "1 ",
+ "1 ",
+ "1267 ",
+ "ED98 ",
+ "0 ",
+ "FFFF ",
+ "1 ",
+ "EF01 ",
+ "1267 ",
+ "FFFFED98 ",
+ "BFFFFFFE ",
+ "FFFFFFFF ",
+ "1 ",
+ "ABCDEF01 ",
+ "1267 ",
+ "FFFFFFFFFFFFED98 ",
+ "BFFFFFFFFFFFFFFE ",
+ "FFFFFFFFFFFFFFFF ",
+ "1 ",
+ "ABCDEF01 ",
+ "1267 ",
+ "FFFFFFFFFFFFED98 ",
+ "BFFFFFFFFFFFFFFE ",
+ "FFFFFFFFFFFFFFFF ",
+ "1 ",
+ "ABCDEF01 ",
+ " 00000103",
+ " -00000104",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 00000001",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -00004351",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 2882400001",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 2882400001",
+ " 00000103",
+ " -00000104",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 00000001",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -00004351",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 2882400001",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 2882400001",
+ " 00000103",
+ " 00065432",
+ " 00000000",
+ " 00000255",
+ " 00000001",
+ " 00000001",
+ " 00004711",
+ " 00060824",
+ " 00000000",
+ " 00065535",
+ " 00000001",
+ " 00061185",
+ " 00004711",
+ " 4294962584",
+ " 3221225470",
+ " 4294967295",
+ " 00000001",
+ " 2882400001",
+ " 00004711",
+ " 18446744073709546904",
+ " 13835058055282163710",
+ " 18446744073709551615",
+ " 00000001",
+ " 2882400001",
+ " 00004711",
+ " 18446744073709546904",
+ " 13835058055282163710",
+ " 18446744073709551615",
+ " 00000001",
+ " 2882400001",
+ " 00000147",
+ " 00177630",
+ " 00000000",
+ " 00000377",
+ " 00000001",
+ " 00000001",
+ " 00011147",
+ " 00166630",
+ " 00000000",
+ " 00177777",
+ " 00000001",
+ " 00167401",
+ " 00011147",
+ " 37777766630",
+ " 27777777776",
+ " 37777777777",
+ " 00000001",
+ " 25363367401",
+ " 00011147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ " 00000001",
+ " 25363367401",
+ " 00011147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ " 00000001",
+ " 25363367401",
+ " 00000067",
+ " 0000ff98",
+ " 00000000",
+ " 000000ff",
+ " 00000001",
+ " 00000001",
+ " 00001267",
+ " 0000ed98",
+ " 00000000",
+ " 0000ffff",
+ " 00000001",
+ " 0000ef01",
+ " 00001267",
+ " ffffed98",
+ " bffffffe",
+ " ffffffff",
+ " 00000001",
+ " abcdef01",
+ " 00001267",
+ " ffffffffffffed98",
+ " bffffffffffffffe",
+ " ffffffffffffffff",
+ " 00000001",
+ " abcdef01",
+ " 00001267",
+ " ffffffffffffed98",
+ " bffffffffffffffe",
+ " ffffffffffffffff",
+ " 00000001",
+ " abcdef01",
+ " 00000067",
+ " 0000FF98",
+ " 00000000",
+ " 000000FF",
+ " 00000001",
+ " 00000001",
+ " 00001267",
+ " 0000ED98",
+ " 00000000",
+ " 0000FFFF",
+ " 00000001",
+ " 0000EF01",
+ " 00001267",
+ " FFFFED98",
+ " BFFFFFFE",
+ " FFFFFFFF",
+ " 00000001",
+ " ABCDEF01",
+ " 00001267",
+ " FFFFFFFFFFFFED98",
+ " BFFFFFFFFFFFFFFE",
+ " FFFFFFFFFFFFFFFF",
+ " 00000001",
+ " ABCDEF01",
+ " 00001267",
+ " FFFFFFFFFFFFED98",
+ " BFFFFFFFFFFFFFFE",
+ " FFFFFFFFFFFFFFFF",
+ " 00000001",
+ " ABCDEF01",
+ "00000103 ",
+ "-00000104 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "00000001 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-00004351 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "2882400001 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "2882400001 ",
+ "00000103 ",
+ "-00000104 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "00000001 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-00004351 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "2882400001 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "2882400001 ",
+ "00000103 ",
+ "00065432 ",
+ "00000000 ",
+ "00000255 ",
+ "00000001 ",
+ "00000001 ",
+ "00004711 ",
+ "00060824 ",
+ "00000000 ",
+ "00065535 ",
+ "00000001 ",
+ "00061185 ",
+ "00004711 ",
+ "4294962584 ",
+ "3221225470 ",
+ "4294967295 ",
+ "00000001 ",
+ "2882400001 ",
+ "00004711 ",
+ "18446744073709546904 ",
+ "13835058055282163710 ",
+ "18446744073709551615 ",
+ "00000001 ",
+ "2882400001 ",
+ "00004711 ",
+ "18446744073709546904 ",
+ "13835058055282163710 ",
+ "18446744073709551615 ",
+ "00000001 ",
+ "2882400001 ",
+ "00000147 ",
+ "00177630 ",
+ "00000000 ",
+ "00000377 ",
+ "00000001 ",
+ "00000001 ",
+ "00011147 ",
+ "00166630 ",
+ "00000000 ",
+ "00177777 ",
+ "00000001 ",
+ "00167401 ",
+ "00011147 ",
+ "37777766630 ",
+ "27777777776 ",
+ "37777777777 ",
+ "00000001 ",
+ "25363367401 ",
+ "00011147 ",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ "00000001 ",
+ "25363367401 ",
+ "00011147 ",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ "00000001 ",
+ "25363367401 ",
+ "00000067 ",
+ "0000ff98 ",
+ "00000000 ",
+ "000000ff ",
+ "00000001 ",
+ "00000001 ",
+ "00001267 ",
+ "0000ed98 ",
+ "00000000 ",
+ "0000ffff ",
+ "00000001 ",
+ "0000ef01 ",
+ "00001267 ",
+ "ffffed98 ",
+ "bffffffe ",
+ "ffffffff ",
+ "00000001 ",
+ "abcdef01 ",
+ "00001267 ",
+ "ffffffffffffed98 ",
+ "bffffffffffffffe ",
+ "ffffffffffffffff ",
+ "00000001 ",
+ "abcdef01 ",
+ "00001267 ",
+ "ffffffffffffed98 ",
+ "bffffffffffffffe ",
+ "ffffffffffffffff ",
+ "00000001 ",
+ "abcdef01 ",
+ "00000067 ",
+ "0000FF98 ",
+ "00000000 ",
+ "000000FF ",
+ "00000001 ",
+ "00000001 ",
+ "00001267 ",
+ "0000ED98 ",
+ "00000000 ",
+ "0000FFFF ",
+ "00000001 ",
+ "0000EF01 ",
+ "00001267 ",
+ "FFFFED98 ",
+ "BFFFFFFE ",
+ "FFFFFFFF ",
+ "00000001 ",
+ "ABCDEF01 ",
+ "00001267 ",
+ "FFFFFFFFFFFFED98 ",
+ "BFFFFFFFFFFFFFFE ",
+ "FFFFFFFFFFFFFFFF ",
+ "00000001 ",
+ "ABCDEF01 ",
+ "00001267 ",
+ "FFFFFFFFFFFFED98 ",
+ "BFFFFFFFFFFFFFFE ",
+ "FFFFFFFFFFFFFFFF ",
+ "00000001 ",
+ "ABCDEF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000103 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000104 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004351 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000103 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000104 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004351 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000103 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000065432 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000255 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000060824 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000065535 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000061185 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004294962584 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003221225470 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004294967295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000018446744073709546904 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000013835058055282163710 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000018446744073709551615 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000018446744073709546904 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000013835058055282163710 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000018446744073709551615 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000177630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000377 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000166630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000177777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000167401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000037777766630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000027777777776 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000037777777777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000025363367401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001777777777777777766630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001377777777777777777776 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001777777777777777777777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000025363367401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001777777777777777766630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001377777777777777777776 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001777777777777777777777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000025363367401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ff98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ed98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffed98 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000bffffffe ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000abcdef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffed98 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000bffffffffffffffe ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000abcdef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffed98 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000bffffffffffffffe ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000abcdef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FF98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ED98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000EF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFED98 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000BFFFFFFE ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ABCDEF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFFFFFFED98 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000BFFFFFFFFFFFFFFE ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFFFFFFFFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ABCDEF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFFFFFFED98 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000BFFFFFFFFFFFFFFE ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFFFFFFFFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ABCDEF01 ",
+ NULL};
diff --git a/erts/test/erl_print_SUITE_data/integer_test.h b/erts/test/erl_print_SUITE_data/integer_test.h
new file mode 100644
index 0000000000..94c8d59897
--- /dev/null
+++ b/erts/test/erl_print_SUITE_data/integer_test.h
@@ -0,0 +1,1106 @@
+/*
+ * %CopyrightBegin%
+ *
+ * Copyright Ericsson AB 2005-2009. All Rights Reserved.
+ *
+ * The contents of this file are subject to the Erlang Public License,
+ * Version 1.1, (the "License"); you may not use this file except in
+ * compliance with the License. You should have received a copy of the
+ * Erlang Public License along with this software. If not, it can be
+ * retrieved online at http://www.erlang.org/.
+ *
+ * Software distributed under the License is distributed on an "AS IS"
+ * basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+ * the License for the specific language governing rights and limitations
+ * under the License.
+ *
+ * %CopyrightEnd%
+ */
+
+/*
+ * This file has been automatically generated. Do NOT edit it; instead,
+ * run 'erl_print_tests.true integer save_expected_result'
+ */
+
+char *integer_expected_result[] = {
+ "103",
+ "-104",
+ "0",
+ "-1",
+ "1",
+ "1",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-4351",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "103",
+ "-104",
+ "0",
+ "-1",
+ "1",
+ "1",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-4351",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "4711",
+ "-4712",
+ "0",
+ "-1",
+ "1",
+ "-1412567295",
+ "103",
+ "65432",
+ "0",
+ "255",
+ "1",
+ "1",
+ "4711",
+ "60824",
+ "0",
+ "65535",
+ "1",
+ "61185",
+ "4711",
+ "4294962584",
+ "3221225470",
+ "4294967295",
+ "1",
+ "2882400001",
+ "4711",
+ "4294962584",
+ "3221225470",
+ "4294967295",
+ "1",
+ "2882400001",
+ "4711",
+ "18446744073709546904",
+ "13835058055282163710",
+ "18446744073709551615",
+ "1",
+ "18446744072296984321",
+ "147",
+ "177630",
+ "0",
+ "377",
+ "1",
+ "1",
+ "11147",
+ "166630",
+ "0",
+ "177777",
+ "1",
+ "167401",
+ "11147",
+ "37777766630",
+ "27777777776",
+ "37777777777",
+ "1",
+ "25363367401",
+ "11147",
+ "37777766630",
+ "27777777776",
+ "37777777777",
+ "1",
+ "25363367401",
+ "11147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ "1",
+ "1777777777765363367401",
+ "67",
+ "ff98",
+ "0",
+ "ff",
+ "1",
+ "1",
+ "1267",
+ "ed98",
+ "0",
+ "ffff",
+ "1",
+ "ef01",
+ "1267",
+ "ffffed98",
+ "bffffffe",
+ "ffffffff",
+ "1",
+ "abcdef01",
+ "1267",
+ "ffffed98",
+ "bffffffe",
+ "ffffffff",
+ "1",
+ "abcdef01",
+ "1267",
+ "ffffffffffffed98",
+ "bffffffffffffffe",
+ "ffffffffffffffff",
+ "1",
+ "ffffffffabcdef01",
+ "67",
+ "FF98",
+ "0",
+ "FF",
+ "1",
+ "1",
+ "1267",
+ "ED98",
+ "0",
+ "FFFF",
+ "1",
+ "EF01",
+ "1267",
+ "FFFFED98",
+ "BFFFFFFE",
+ "FFFFFFFF",
+ "1",
+ "ABCDEF01",
+ "1267",
+ "FFFFED98",
+ "BFFFFFFE",
+ "FFFFFFFF",
+ "1",
+ "ABCDEF01",
+ "1267",
+ "FFFFFFFFFFFFED98",
+ "BFFFFFFFFFFFFFFE",
+ "FFFFFFFFFFFFFFFF",
+ "1",
+ "FFFFFFFFABCDEF01",
+ " 00103",
+ " -00104",
+ " 00000",
+ " -00001",
+ " 00001",
+ " 00001",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ " -04351",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 00103",
+ " -00104",
+ " 00000",
+ " -00001",
+ " 00001",
+ " 00001",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ " -04351",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 04711",
+ " -04712",
+ " 00000",
+ " -00001",
+ " 00001",
+ "-1412567295",
+ " 00103",
+ " 65432",
+ " 00000",
+ " 00255",
+ " 00001",
+ " 00001",
+ " 04711",
+ " 60824",
+ " 00000",
+ " 65535",
+ " 00001",
+ " 61185",
+ " 04711",
+ "4294962584",
+ "3221225470",
+ "4294967295",
+ " 00001",
+ "2882400001",
+ " 04711",
+ "4294962584",
+ "3221225470",
+ "4294967295",
+ " 00001",
+ "2882400001",
+ " 04711",
+ "18446744073709546904",
+ "13835058055282163710",
+ "18446744073709551615",
+ " 00001",
+ "18446744072296984321",
+ " 00147",
+ " 177630",
+ " 00000",
+ " 00377",
+ " 00001",
+ " 00001",
+ " 11147",
+ " 166630",
+ " 00000",
+ " 177777",
+ " 00001",
+ " 167401",
+ " 11147",
+ "37777766630",
+ "27777777776",
+ "37777777777",
+ " 00001",
+ "25363367401",
+ " 11147",
+ "37777766630",
+ "27777777776",
+ "37777777777",
+ " 00001",
+ "25363367401",
+ " 11147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ " 00001",
+ "1777777777765363367401",
+ " 00067",
+ " 0ff98",
+ " 00000",
+ " 000ff",
+ " 00001",
+ " 00001",
+ " 01267",
+ " 0ed98",
+ " 00000",
+ " 0ffff",
+ " 00001",
+ " 0ef01",
+ " 01267",
+ " ffffed98",
+ " bffffffe",
+ " ffffffff",
+ " 00001",
+ " abcdef01",
+ " 01267",
+ " ffffed98",
+ " bffffffe",
+ " ffffffff",
+ " 00001",
+ " abcdef01",
+ " 01267",
+ "ffffffffffffed98",
+ "bffffffffffffffe",
+ "ffffffffffffffff",
+ " 00001",
+ "ffffffffabcdef01",
+ " 00067",
+ " 0FF98",
+ " 00000",
+ " 000FF",
+ " 00001",
+ " 00001",
+ " 01267",
+ " 0ED98",
+ " 00000",
+ " 0FFFF",
+ " 00001",
+ " 0EF01",
+ " 01267",
+ " FFFFED98",
+ " BFFFFFFE",
+ " FFFFFFFF",
+ " 00001",
+ " ABCDEF01",
+ " 01267",
+ " FFFFED98",
+ " BFFFFFFE",
+ " FFFFFFFF",
+ " 00001",
+ " ABCDEF01",
+ " 01267",
+ "FFFFFFFFFFFFED98",
+ "BFFFFFFFFFFFFFFE",
+ "FFFFFFFFFFFFFFFF",
+ " 00001",
+ "FFFFFFFFABCDEF01",
+ "+103 ",
+ "-104 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+1 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-4351 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "+103 ",
+ "-104 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "+1 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-4351 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "+4711 ",
+ "-4712 ",
+ "+0 ",
+ "-1 ",
+ "+1 ",
+ "-1412567295 ",
+ "103 ",
+ "65432 ",
+ "0 ",
+ "255 ",
+ "1 ",
+ "1 ",
+ "4711 ",
+ "60824 ",
+ "0 ",
+ "65535 ",
+ "1 ",
+ "61185 ",
+ "4711 ",
+ "4294962584 ",
+ "3221225470 ",
+ "4294967295 ",
+ "1 ",
+ "2882400001 ",
+ "4711 ",
+ "4294962584 ",
+ "3221225470 ",
+ "4294967295 ",
+ "1 ",
+ "2882400001 ",
+ "4711 ",
+ "18446744073709546904 ",
+ "13835058055282163710 ",
+ "18446744073709551615 ",
+ "1 ",
+ "18446744072296984321 ",
+ "147 ",
+ "177630 ",
+ "0 ",
+ "377 ",
+ "1 ",
+ "1 ",
+ "11147 ",
+ "166630 ",
+ "0 ",
+ "177777 ",
+ "1 ",
+ "167401 ",
+ "11147 ",
+ "37777766630 ",
+ "27777777776 ",
+ "37777777777 ",
+ "1 ",
+ "25363367401 ",
+ "11147 ",
+ "37777766630 ",
+ "27777777776 ",
+ "37777777777 ",
+ "1 ",
+ "25363367401 ",
+ "11147 ",
+ "1777777777777777766630 ",
+ "1377777777777777777776 ",
+ "1777777777777777777777 ",
+ "1 ",
+ "1777777777765363367401 ",
+ "67 ",
+ "ff98 ",
+ "0 ",
+ "ff ",
+ "1 ",
+ "1 ",
+ "1267 ",
+ "ed98 ",
+ "0 ",
+ "ffff ",
+ "1 ",
+ "ef01 ",
+ "1267 ",
+ "ffffed98 ",
+ "bffffffe ",
+ "ffffffff ",
+ "1 ",
+ "abcdef01 ",
+ "1267 ",
+ "ffffed98 ",
+ "bffffffe ",
+ "ffffffff ",
+ "1 ",
+ "abcdef01 ",
+ "1267 ",
+ "ffffffffffffed98 ",
+ "bffffffffffffffe ",
+ "ffffffffffffffff ",
+ "1 ",
+ "ffffffffabcdef01 ",
+ "67 ",
+ "FF98 ",
+ "0 ",
+ "FF ",
+ "1 ",
+ "1 ",
+ "1267 ",
+ "ED98 ",
+ "0 ",
+ "FFFF ",
+ "1 ",
+ "EF01 ",
+ "1267 ",
+ "FFFFED98 ",
+ "BFFFFFFE ",
+ "FFFFFFFF ",
+ "1 ",
+ "ABCDEF01 ",
+ "1267 ",
+ "FFFFED98 ",
+ "BFFFFFFE ",
+ "FFFFFFFF ",
+ "1 ",
+ "ABCDEF01 ",
+ "1267 ",
+ "FFFFFFFFFFFFED98 ",
+ "BFFFFFFFFFFFFFFE ",
+ "FFFFFFFFFFFFFFFF ",
+ "1 ",
+ "FFFFFFFFABCDEF01 ",
+ " 00000103",
+ " -00000104",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 00000001",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -00004351",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00000103",
+ " -00000104",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " 00000001",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -00004351",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00004711",
+ " -00004712",
+ " 00000000",
+ " -00000001",
+ " 00000001",
+ " -1412567295",
+ " 00000103",
+ " 00065432",
+ " 00000000",
+ " 00000255",
+ " 00000001",
+ " 00000001",
+ " 00004711",
+ " 00060824",
+ " 00000000",
+ " 00065535",
+ " 00000001",
+ " 00061185",
+ " 00004711",
+ " 4294962584",
+ " 3221225470",
+ " 4294967295",
+ " 00000001",
+ " 2882400001",
+ " 00004711",
+ " 4294962584",
+ " 3221225470",
+ " 4294967295",
+ " 00000001",
+ " 2882400001",
+ " 00004711",
+ " 18446744073709546904",
+ " 13835058055282163710",
+ " 18446744073709551615",
+ " 00000001",
+ " 18446744072296984321",
+ " 00000147",
+ " 00177630",
+ " 00000000",
+ " 00000377",
+ " 00000001",
+ " 00000001",
+ " 00011147",
+ " 00166630",
+ " 00000000",
+ " 00177777",
+ " 00000001",
+ " 00167401",
+ " 00011147",
+ " 37777766630",
+ " 27777777776",
+ " 37777777777",
+ " 00000001",
+ " 25363367401",
+ " 00011147",
+ " 37777766630",
+ " 27777777776",
+ " 37777777777",
+ " 00000001",
+ " 25363367401",
+ " 00011147",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ " 00000001",
+ "1777777777765363367401",
+ " 00000067",
+ " 0000ff98",
+ " 00000000",
+ " 000000ff",
+ " 00000001",
+ " 00000001",
+ " 00001267",
+ " 0000ed98",
+ " 00000000",
+ " 0000ffff",
+ " 00000001",
+ " 0000ef01",
+ " 00001267",
+ " ffffed98",
+ " bffffffe",
+ " ffffffff",
+ " 00000001",
+ " abcdef01",
+ " 00001267",
+ " ffffed98",
+ " bffffffe",
+ " ffffffff",
+ " 00000001",
+ " abcdef01",
+ " 00001267",
+ " ffffffffffffed98",
+ " bffffffffffffffe",
+ " ffffffffffffffff",
+ " 00000001",
+ " ffffffffabcdef01",
+ " 00000067",
+ " 0000FF98",
+ " 00000000",
+ " 000000FF",
+ " 00000001",
+ " 00000001",
+ " 00001267",
+ " 0000ED98",
+ " 00000000",
+ " 0000FFFF",
+ " 00000001",
+ " 0000EF01",
+ " 00001267",
+ " FFFFED98",
+ " BFFFFFFE",
+ " FFFFFFFF",
+ " 00000001",
+ " ABCDEF01",
+ " 00001267",
+ " FFFFED98",
+ " BFFFFFFE",
+ " FFFFFFFF",
+ " 00000001",
+ " ABCDEF01",
+ " 00001267",
+ " FFFFFFFFFFFFED98",
+ " BFFFFFFFFFFFFFFE",
+ " FFFFFFFFFFFFFFFF",
+ " 00000001",
+ " FFFFFFFFABCDEF01",
+ "00000103 ",
+ "-00000104 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "00000001 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-00004351 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00000103 ",
+ "-00000104 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "00000001 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-00004351 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00004711 ",
+ "-00004712 ",
+ "00000000 ",
+ "-00000001 ",
+ "00000001 ",
+ "-1412567295 ",
+ "00000103 ",
+ "00065432 ",
+ "00000000 ",
+ "00000255 ",
+ "00000001 ",
+ "00000001 ",
+ "00004711 ",
+ "00060824 ",
+ "00000000 ",
+ "00065535 ",
+ "00000001 ",
+ "00061185 ",
+ "00004711 ",
+ "4294962584 ",
+ "3221225470 ",
+ "4294967295 ",
+ "00000001 ",
+ "2882400001 ",
+ "00004711 ",
+ "4294962584 ",
+ "3221225470 ",
+ "4294967295 ",
+ "00000001 ",
+ "2882400001 ",
+ "00004711 ",
+ "18446744073709546904 ",
+ "13835058055282163710 ",
+ "18446744073709551615 ",
+ "00000001 ",
+ "18446744072296984321 ",
+ "00000147 ",
+ "00177630 ",
+ "00000000 ",
+ "00000377 ",
+ "00000001 ",
+ "00000001 ",
+ "00011147 ",
+ "00166630 ",
+ "00000000 ",
+ "00177777 ",
+ "00000001 ",
+ "00167401 ",
+ "00011147 ",
+ "37777766630 ",
+ "27777777776 ",
+ "37777777777 ",
+ "00000001 ",
+ "25363367401 ",
+ "00011147 ",
+ "37777766630 ",
+ "27777777776 ",
+ "37777777777 ",
+ "00000001 ",
+ "25363367401 ",
+ "00011147 ",
+ "1777777777777777766630",
+ "1377777777777777777776",
+ "1777777777777777777777",
+ "00000001 ",
+ "1777777777765363367401",
+ "00000067 ",
+ "0000ff98 ",
+ "00000000 ",
+ "000000ff ",
+ "00000001 ",
+ "00000001 ",
+ "00001267 ",
+ "0000ed98 ",
+ "00000000 ",
+ "0000ffff ",
+ "00000001 ",
+ "0000ef01 ",
+ "00001267 ",
+ "ffffed98 ",
+ "bffffffe ",
+ "ffffffff ",
+ "00000001 ",
+ "abcdef01 ",
+ "00001267 ",
+ "ffffed98 ",
+ "bffffffe ",
+ "ffffffff ",
+ "00000001 ",
+ "abcdef01 ",
+ "00001267 ",
+ "ffffffffffffed98 ",
+ "bffffffffffffffe ",
+ "ffffffffffffffff ",
+ "00000001 ",
+ "ffffffffabcdef01 ",
+ "00000067 ",
+ "0000FF98 ",
+ "00000000 ",
+ "000000FF ",
+ "00000001 ",
+ "00000001 ",
+ "00001267 ",
+ "0000ED98 ",
+ "00000000 ",
+ "0000FFFF ",
+ "00000001 ",
+ "0000EF01 ",
+ "00001267 ",
+ "FFFFED98 ",
+ "BFFFFFFE ",
+ "FFFFFFFF ",
+ "00000001 ",
+ "ABCDEF01 ",
+ "00001267 ",
+ "FFFFED98 ",
+ "BFFFFFFE ",
+ "FFFFFFFF ",
+ "00000001 ",
+ "ABCDEF01 ",
+ "00001267 ",
+ "FFFFFFFFFFFFED98 ",
+ "BFFFFFFFFFFFFFFE ",
+ "FFFFFFFFFFFFFFFF ",
+ "00000001 ",
+ "FFFFFFFFABCDEF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000103 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000104 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004351 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000103 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000104 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004351 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004712 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "-0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001412567295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000103 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000065432 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000255 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000060824 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000065535 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000061185 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004294962584 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003221225470 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004294967295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004294962584 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003221225470 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004294967295 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000002882400001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000004711 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000018446744073709546904 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000013835058055282163710 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000018446744073709551615 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000018446744072296984321 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000177630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000377 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000166630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000177777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000167401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000037777766630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000027777777776 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000037777777777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000025363367401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000037777766630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000027777777776 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000037777777777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000025363367401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011147 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001777777777777777766630 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001377777777777777777776 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001777777777777777777777 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001777777777765363367401 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ff98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ed98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffed98 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000bffffffe ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000abcdef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffed98 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000bffffffe ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000abcdef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffed98 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000bffffffffffffffe ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffff ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffabcdef01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000067 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FF98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ED98 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000EF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFED98 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000BFFFFFFE ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ABCDEF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFED98 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000BFFFFFFE ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ABCDEF01 ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001267 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFFFFFFED98 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000BFFFFFFFFFFFFFFE ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFFFFFFFFFF ",
+ "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ",
+ "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000FFFFFFFFABCDEF01 ",
+ NULL};
diff --git a/erts/test/erl_print_SUITE_data/snprintf_test.h b/erts/test/erl_print_SUITE_data/snprintf_test.h
new file mode 100644
index 0000000000..0849b60562
--- /dev/null
+++ b/erts/test/erl_print_SUITE_data/snprintf_test.h
@@ -0,0 +1,43 @@
+/*
+ * %CopyrightBegin%
+ *
+ * Copyright Ericsson AB 2005-2009. All Rights Reserved.
+ *
+ * The contents of this file are subject to the Erlang Public License,
+ * Version 1.1, (the "License"); you may not use this file except in
+ * compliance with the License. You should have received a copy of the
+ * Erlang Public License along with this software. If not, it can be
+ * retrieved online at http://www.erlang.org/.
+ *
+ * Software distributed under the License is distributed on an "AS IS"
+ * basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+ * the License for the specific language governing rights and limitations
+ * under the License.
+ *
+ * %CopyrightEnd%
+ */
+
+/*
+ * This file has been automatically generated. Do NOT edit it; instead,
+ * run 'erl_print_tests.false snprintf save_expected_result'
+ */
+
+char *snprintf_expected_result[] = {
+ "hej h",
+ "hej ho",
+ "hej hop",
+ "hej hopp",
+ "hej hopp",
+ "hej 4",
+ "hej 47",
+ "hej 471",
+ "hej 4711",
+ "hej 4711",
+ "abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r",
+ "abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r\t",
+ "abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r\t\v",
+ "abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r\t\v",
+ "abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r\t\v",
+ "abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ�",
+ "abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r\t\vabcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r\t\v",
+ NULL};
diff --git a/erts/test/erl_print_SUITE_data/string_test.h b/erts/test/erl_print_SUITE_data/string_test.h
new file mode 100644
index 0000000000..32249ab6e9
--- /dev/null
+++ b/erts/test/erl_print_SUITE_data/string_test.h
@@ -0,0 +1,33 @@
+/*
+ * %CopyrightBegin%
+ *
+ * Copyright Ericsson AB 2005-2009. All Rights Reserved.
+ *
+ * The contents of this file are subject to the Erlang Public License,
+ * Version 1.1, (the "License"); you may not use this file except in
+ * compliance with the License. You should have received a copy of the
+ * Erlang Public License along with this software. If not, it can be
+ * retrieved online at http://www.erlang.org/.
+ *
+ * Software distributed under the License is distributed on an "AS IS"
+ * basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+ * the License for the specific language governing rights and limitations
+ * under the License.
+ *
+ * %CopyrightEnd%
+ */
+
+/*
+ * This file has been automatically generated. Do NOT edit it; instead,
+ * run 'erl_print_tests.false string save_expected_result'
+ */
+
+char *string_expected_result[] = {
+ "hej",
+ "hopp ",
+ " hopp",
+ "hopp ",
+ " hopp",
+ "\t abcd",
+ "\t abcdefghijklmnopqrstuvwxyz���ABCDEFGHIJKLMNOPQRSTUVXYZ���1234567890()[]{}+-;,:.@�$!\"#�%&/\\=?'`�^~��|<>�*_\a\b\f\n\r\t\v",
+ NULL};