aboutsummaryrefslogtreecommitdiffstats
path: root/lib/xmerl/test
diff options
context:
space:
mode:
authorBjörn Gustavsson <[email protected]>2010-09-02 15:44:33 +0200
committerLars Thorsen <[email protected]>2011-05-10 09:13:23 +0200
commit824a6db3ff111f8d2427ac5adad0362bf078630a (patch)
treef146685c27e4c8f91b07f76d3e1e3510553e2e88 /lib/xmerl/test
parent1a5796cd12061ebb21e7e51a0b7bdf05ed4786a7 (diff)
downloadotp-824a6db3ff111f8d2427ac5adad0362bf078630a.tar.gz
otp-824a6db3ff111f8d2427ac5adad0362bf078630a.tar.bz2
otp-824a6db3ff111f8d2427ac5adad0362bf078630a.zip
Add test suite for xmerl
Diffstat (limited to 'lib/xmerl/test')
-rw-r--r--lib/xmerl/test/Makefile129
-rw-r--r--lib/xmerl/test/gen_testsuits-2001-01-16.erl744
-rw-r--r--lib/xmerl/test/proprietary/mylib.erl54
-rw-r--r--lib/xmerl/test/test_xmerl.erl329
-rw-r--r--lib/xmerl/test/testcases.dtd103
-rw-r--r--lib/xmerl/test/xmerl.cover2
-rw-r--r--lib/xmerl/test/xmerl.spec1
-rw-r--r--lib/xmerl/test/xmerl_SUITE.erl398
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/cpd.tar.gzbin0 -> 2128 bytes
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/eventp/CMOM.xml30261
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.stub14
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.xml30261
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/eventp/mp.dtd274
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.stub5
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.xml9
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/misc.tar.gzbin0 -> 47121 bytes
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/documentRoot.xml43
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/e1074.xml18
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/e1075.xml18
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/e1076.xml13
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/e1077.xml16
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/e1078.xml11
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/motorcycles.xml25
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/myBS_model.xml6
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/purchaseOrder.xml36
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/xpath.xml25
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_abbrev.erl266
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_lib.erl184
-rw-r--r--lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_text.erl28
-rw-r--r--lib/xmerl/test/xmerl_app_test.erl242
-rw-r--r--lib/xmerl/test/xmerl_appup_test.erl392
-rw-r--r--lib/xmerl/test/xmerl_sax_SUITE.erl110
-rw-r--r--lib/xmerl/test/xmerl_sax_std_SUITE.erl25635
-rw-r--r--lib/xmerl/test/xmerl_sax_std_SUITE_data/ibm.tgzbin0 -> 110760 bytes
-rw-r--r--lib/xmerl/test/xmerl_sax_std_SUITE_data/japanese.tgzbin0 -> 376350 bytes
-rw-r--r--lib/xmerl/test/xmerl_sax_std_SUITE_data/oasis.tgzbin0 -> 18181 bytes
-rw-r--r--lib/xmerl/test/xmerl_sax_std_SUITE_data/sun.tgzbin0 -> 20007 bytes
-rw-r--r--lib/xmerl/test/xmerl_sax_std_SUITE_data/xmltest.tgzbin0 -> 30334 bytes
-rw-r--r--lib/xmerl/test/xmerl_std_SUITE.erl11801
-rw-r--r--lib/xmerl/test/xmerl_std_SUITE_data/ibm.tar.gzbin0 -> 112986 bytes
-rw-r--r--lib/xmerl/test/xmerl_std_SUITE_data/japanese.tar.gzbin0 -> 376850 bytes
-rw-r--r--lib/xmerl/test/xmerl_std_SUITE_data/oasis.tar.gzbin0 -> 26750 bytes
-rw-r--r--lib/xmerl/test/xmerl_std_SUITE_data/sun.tar.gzbin0 -> 23117 bytes
-rw-r--r--lib/xmerl/test/xmerl_std_SUITE_data/xmltest.tar.gzbin0 -> 43920 bytes
-rw-r--r--lib/xmerl/test/xmerl_test_lib.erl343
-rw-r--r--lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE.erl24131
-rw-r--r--lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msx_failed_cases.log2226
-rw-r--r--lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msxsdtest.tar.gzbin0 -> 703416 bytes
-rw-r--r--lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE.erl15962
-rw-r--r--lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nist_failed_cases.log908
-rw-r--r--lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nisttest.tar.gzbin0 -> 1113062 bytes
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE.erl1175
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/4Q99.xml25
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/CxDataType_Rel5.xsd211
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/address.xsd69
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes.xsd112
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes1.xml44
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes2.xml44
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xml2
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xsd20
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/clementine_loop.gpx3594
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/gpx.xsd227
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/instance.xml149
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/int.xml4
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xml32
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xsd60
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xml34
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xsd73
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xml37
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xsd65
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/measCollec.xsd160
-rwxr-xr-xlib/xmerl/test/xmerl_xsd_SUITE_data/mim.xsd511
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1.xsd1531
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1Tran.xsd2164
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/ImportExportMap.xsd75
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/configData.xsd41
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/genericNrm.xsd230
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/geranNrm.xsd63
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/rnc.xml23763
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/utranNrm.xsd174
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po.xml32
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po.xsd67
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab424
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab2424
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xml36
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xsd64
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all.xsd65
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all1.xml36
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all2.xml35
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err1.xml37
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err2.xml28
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po1_global.xsd86
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po2.xml36
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xml32
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xsd81
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab850
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab2850
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/report.xsd82
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/report2.xsd82
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/simple_int.xsd13
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IntegratedSite.xsd5238
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IsTypes.xsd42
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MainSwitch_1.xsd1496
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MyBsModel_1.xsd502
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/bs_mim.xml1187
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/dummy_action_mim.xml186
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/hwm_mim.xml631
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/instance.xml240
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim.xsd486
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim2.xsd506
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/nc.xsd14
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/sis/swm_mim.xml881
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/small.xml8
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/small.xsd60
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_7288.xml56
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xml6
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xsd17
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xml117
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xsd82
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle2.xml61
-rw-r--r--lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle3.xml117
-rw-r--r--lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE.erl812
-rw-r--r--lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/sun_failed_cases.log27
-rw-r--r--lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/suntest.tar.gzbin0 -> 21294 bytes
-rw-r--r--lib/xmerl/test/xmerl_xsd_lib.erl314
-rw-r--r--lib/xmerl/test/xmlconf.xml53
-rw-r--r--lib/xmerl/test/xmlconformance.msxsl527
-rw-r--r--lib/xmerl/test/xmlconformance.xsl498
128 files changed, 197236 insertions, 0 deletions
diff --git a/lib/xmerl/test/Makefile b/lib/xmerl/test/Makefile
new file mode 100644
index 0000000000..775e323dd4
--- /dev/null
+++ b/lib/xmerl/test/Makefile
@@ -0,0 +1,129 @@
+#
+# %CopyrightBegin%
+#
+# Copyright Ericsson AB 2004-2010. All Rights Reserved.
+#
+# The contents of this file are subject to the Erlang Public License,
+# Version 1.1, (the "License"); you may not use this file except in
+# compliance with the License. You should have received a copy of the
+# Erlang Public License along with this software. If not, it can be
+# retrieved online at http://www.erlang.org/.
+#
+# Software distributed under the License is distributed on an "AS IS"
+# basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+# the License for the specific language governing rights and limitations
+# under the License.
+#
+# %CopyrightEnd%
+#
+include $(ERL_TOP)/make/target.mk
+include $(ERL_TOP)/make/$(TARGET)/otp.mk
+
+# ----------------------------------------------------
+# Common Macros
+# ----------------------------------------------------
+
+include ../vsn.mk
+VSN = $(XMERL_VSN)
+
+RM = rm -f
+
+# ----------------------------------------------------
+# Target Specs
+# ----------------------------------------------------
+
+HRL_DIR= $(ERL_TOP)/lib/xmerl/include/
+HRL_MODULES= $(HRL_DIR)xmerl $(HRL_DIR)xmerl_xsd
+HRL_FILES= $(HRL_MODULES:%=%.hrl)
+
+
+MODULES= \
+ xmerl_test \
+ xmerl_app_test \
+ xmerl_appup_test \
+ xmerl_test_lib \
+ xmerl_xsd_lib
+
+ERL_FILES= $(MODULES:%=%.erl)
+
+SUITE_FILES= \
+ xmerl_SUITE.erl \
+ xmerl_std_SUITE.erl \
+ xmerl_sax_SUITE.erl \
+ xmerl_sax_std_SUITE.erl \
+ xmerl_xsd_SUITE.erl \
+ xmerl_xsd_MS2002-01-16_SUITE.erl \
+ xmerl_xsd_NIST2002-01-16_SUITE.erl \
+ xmerl_xsd_Sun2002-01-16_SUITE.erl
+
+XML_FILES= \
+ testcases.dtd \
+ xmlconformance.msxsl \
+ xmlconformance.xsl
+
+
+TARGET_FILES= $(MODULES:%=%.$(EMULATOR))
+
+
+INSTALL_PROGS= $(TARGET_FILES)
+
+ifndef XMERL_PRESERV
+XMERL_PRESERV_TEST_DIRS=
+else
+XMERL_PRESERV_TEST_DIRS= -Ddont_rm_test_dirs
+endif
+
+# ----------------------------------------------------
+# Release directory specification
+# ----------------------------------------------------
+
+RELSYSDIR = $(RELEASE_PATH)/xmerl_test
+
+# ----------------------------------------------------
+# FLAGS
+# ----------------------------------------------------
+
+#ERL_COMPILE_FLAGS += -I$(ERL_TOP)/lib/test_server/include -I$(ERL_TOP)/lib/xmerl/include/ $(XMERL_PRESERV_TEST_DIRS)
+
+
+# ----------------------------------------------------
+# Targets
+# ----------------------------------------------------
+
+tests debug opt: xmerl_test.erl
+
+info:
+ @echo "HRL_FILES: $(HRL_FILES)"
+
+clean:
+ $(RM) *.beam
+ $(RM) xmerl_test.erl
+ $(RM) core *~
+
+xmerl_test.erl: ../doc/examples/xmerl_test.erl
+ cp $< $@
+ chmod u+w $@
+
+
+# ----------------------------------------------------
+# Release Target
+# ----------------------------------------------------
+include $(ERL_TOP)/make/otp_release_targets.mk
+
+release_spec: opt
+
+release_tests_spec: opt
+ $(INSTALL_DIR) $(RELSYSDIR)
+ $(INSTALL_DATA) $(ERL_FILES) $(HRL_FILES) $(RELSYSDIR)
+ $(INSTALL_DATA) $(SUITE_FILES) $(RELSYSDIR)
+ $(INSTALL_DATA) xmerl.spec xmerl.cover $(RELSYSDIR)
+ cp $(XML_FILES) $(RELSYSDIR)
+ @tar cfh - xmerl_SUITE_data | (cd $(RELSYSDIR); tar xf -)
+ @tar cfh - xmerl_std_SUITE_data | (cd $(RELSYSDIR); tar xf -)
+ @tar cfh - xmerl_sax_std_SUITE_data | (cd $(RELSYSDIR); tar xf -)
+ @tar cfh - xmerl_xsd_SUITE_data | (cd $(RELSYSDIR); tar xf -)
+ @tar cfh - xmerl_xsd_MS2002-01-16_SUITE_data | (cd $(RELSYSDIR); tar xf -)
+ @tar cfh - xmerl_xsd_NIST2002-01-16_SUITE_data | (cd $(RELSYSDIR); tar xf -)
+ @tar cfh - xmerl_xsd_Sun2002-01-16_SUITE_data | (cd $(RELSYSDIR); tar xf -)
+ @tar cfh - proprietary | (cd $(RELSYSDIR); tar xf -)
+ chmod -f -R u+w $(RELSYSDIR)
diff --git a/lib/xmerl/test/gen_testsuits-2001-01-16.erl b/lib/xmerl/test/gen_testsuits-2001-01-16.erl
new file mode 100644
index 0000000000..32b4bd836e
--- /dev/null
+++ b/lib/xmerl/test/gen_testsuits-2001-01-16.erl
@@ -0,0 +1,744 @@
+%%%-------------------------------------------------------------------
+%%% File : test2.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 26 Sep 2006 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(gen_testsuits-2001-01-16).
+
+-compile(export_all).
+-include_lib("xmerl/include/xmerl.hrl").
+
+
+%% generate(Suite) generates test suite modules. In those modules are
+%% all test cases extracted from the corresponding ".testSet"
+%% file. This program must be in the xmlSchema2002-01-16 directory of
+%% the unpacked xmlSchema2002-01-16.tar file. The test suite files are
+%% created in this directory.
+generate(all) ->
+ generate(nist),
+ generate(sun),
+ generate(msx);
+generate(nist) ->
+ io:format("generating test suite source ~p~n",
+ ["NISTXMLSchema1-0-20020116.testSet"]),
+ generate("NISTXMLSchema1-0-20020116.testSet"),
+ io:format("compiling test suite source~n",[]),
+ {ok,_}=compile:file("xmerl_xsd_NIST2002-01-16_SUITE.erl",[{i,"/view/bertil_xmerl/clearcase/otp/erts/lib/test_server/include/"},{i,"/view/bertil_xmerl/clearcase/otp/erts/lib/xmerl/include/"}]);
+generate(sun) ->
+ io:format("generating test suite source ~p~n",
+ ["SunXMLSchema1-0-20020116.testSet"]),
+ generate("SunXMLSchema1-0-20020116.testSet"),
+ io:format("compiling test suite source~n",[]),
+ {ok,_}=compile:file("xmerl_xsd_Sun2002-01-16_SUITE.erl",[{i,"/view/bertil_xmerl/clearcase/otp/erts/lib/test_server/include/"},{i,"/view/bertil_xmerl/clearcase/otp/erts/lib/xmerl/include/"}]);
+generate(msx) ->
+ io:format("generating test suite source ~p~n",
+ ["MSXMLSchema1-0-20020116.testSet"]),
+ generate("MSXMLSchema1-0-20020116.testSet"),
+ io:format("compiling test suite source~n",[]),
+ {ok,_}=compile:file("xmerl_xsd_MS2002-01-16_SUITE.erl",[{i,"/view/bertil_xmerl/clearcase/otp/erts/lib/test_server/include/"},{i,"/view/bertil_xmerl/clearcase/otp/erts/lib/xmerl/include/"}]);
+generate(Suite) ->
+ {E,_} = xmerl_scan:file(Suite),
+ {ok,FileName} = create_suite_name(xmerl_xpath:string("./@name",E)),
+ case create_suite_file(FileName) of
+ {ok,IO} ->
+ emit_licens_text(IO),
+ emit_module_header(IO,list_to_atom(filename:rootname(FileName))),
+ generate2(abbrev(Suite),E,IO);
+ _ ->
+ failed
+ end.
+generate2(Suite,E,IO) ->
+ %% Each testGroup name is a function in the test suite.
+ TestGroupNames = test_case_names(Suite),
+ emit_all_function(TestGroupNames,IO),
+ emit_init_per_suite(IO,Suite),
+ emit_init_per_testcase(IO),
+ TestGroups=[X||X=#xmlElement{}<-E#xmlElement.content],
+ TestGroupGroups = group_testGroups(test_case_prefix(Suite),TestGroups),
+ generate_test_case_functions(Suite,TestGroupGroups,IO).
+
+group_testGroups(Prefs,TGs) ->
+ group_testGroups(Prefs,TGs,[]).
+group_testGroups([],[],Acc) ->
+ lists:reverse(Acc);
+group_testGroups(Prefs,TGs,Acc) ->
+ SplitPrefs = fun([{_,[]}|T],F) ->
+ F(T,F);
+ ([{N,[H|T1]}|T2],_) ->
+ {H,N,[{N,T1}|T2]};
+ ([H|T],_) ->
+ {H,H,T};
+ (A,B) ->
+ io:format("SplitRefs: ~nA: ~p~nB: ~p~nTGs: ~p~n",[A,B,hd(TGs)]),
+ exit(dummy)
+ end,
+ {Pref,TCName,Prefs2} = SplitPrefs(Prefs,SplitPrefs),
+ Pred =
+ fun(E) ->
+ case xmerl_xpath:string("@name",E) of
+ [#xmlAttribute{value=V}] ->
+ lists:prefix(Pref,V);
+ _ -> false
+ end
+ end,
+ {TGG,TGs2}=lists:splitwith(Pred,TGs),
+%% case TGG of
+%% [] ->
+%% io:format("hd(Prefs): ~p~n",[hd(Prefs)]);
+%% _ ->
+%% ok
+%% end,
+ group_testGroups(Prefs2,TGs2,acc_group({TCName,TGG},Acc)).
+
+acc_group({Name,TGG},[{Name,AccP}|Acc]) ->
+ [{Name,AccP++TGG}|Acc];
+acc_group(TGG,Acc) ->
+ [TGG|Acc].
+
+generate_test_case_functions(_Suite,[],IO) ->
+ %%emit_schema_test(IO),
+ %%emit_instance_test(IO),
+ file:close(IO);
+generate_test_case_functions(Suite,[{TCName,TGG}|TGGs],IO) ->
+ emit_test_case_func(true,TCName,comment(TCName,TGG),IO),
+ generate_test_cases(Suite,TGG,0,0,IO),
+ generate_test_case_functions(Suite,TGGs,IO).
+
+generate_test_cases(_,[],SIndex,EIndex,IO) ->
+ emit_result_list_test(IO,SIndex,EIndex),
+%% emit_schema_result_list(IO,SIndex,EIndex),
+%% emit_instance_result_list(IO,SIndex,EIndex),
+ ok;
+generate_test_cases(Suite,[El|Els],SIndex,EIndex,IO) ->
+ SchemaTest = xmerl_xpath:string("schemaTest",El),
+ InstanceTest = xmerl_xpath:string("instanceTest",El),
+ SIndex2 = generate_schema_tests(Suite,SchemaTest,IO,SIndex,
+ any_instance_tests(InstanceTest)),
+
+ EIndex2=generate_instance_tests(InstanceTest,mk_state(SIndex),EIndex,IO),
+ case {Els,any_tests(SchemaTest,InstanceTest)} of
+%% {[],true} ->
+%% emit(IO,[".",nl]);
+%% {_,true} ->
+%% emit(IO,[",",nl]);
+ _ ->
+ ok
+ end,
+ emit(IO,[nl,nl]),
+ generate_test_cases(Suite,Els,SIndex2,EIndex2,IO).
+
+
+
+%% 0 or 1 schemaTest/ testGroup, but a schemaTest may reference many schemas
+generate_schema_tests(_Suite,[],_IO,SIndex,_) ->
+ SIndex;
+generate_schema_tests(Suite,[SchemaTest],IO,SIndex,AnyInstanceTests) ->
+ case xmerl_xpath:string("current[@status=\"accepted\" or @status=\"stable\"]",SchemaTest) of
+ [] ->
+ SIndex;
+ _ ->
+ case exclude_case(Suite,xmerl_xpath:string("@name",SchemaTest)) of
+ true ->
+ SIndex;
+ _ ->
+ Refs = xmerl_xpath:string("schemaDocument/@xlink:href",
+ SchemaTest),
+ ExpectedValue = xmerl_xpath:string("expected/@validity",
+ SchemaTest),
+ ExpectedReturnValue =
+ expected_return_value_st(ExpectedValue,SIndex),
+ generate_schema_validation_call(ExpectedReturnValue,
+ Refs,0,SIndex,
+ IO,length(Refs)>1,
+ AnyInstanceTests)
+ end
+ end.
+
+
+generate_schema_validation_call(_RetVal,[],_Num,Sindex,_IO,_,false) ->
+%% emit(IO,[RetVal]),
+ %% emit(IO,[".",nl]),
+ Sindex;
+generate_schema_validation_call(_RetVal,[],_Num,Sindex,_IO,_,true) ->
+ %% emit(IO,[RetVal]),
+% emit(IO,[",",nl]),
+ Sindex;
+generate_schema_validation_call(RetVal,[#xmlAttribute{value=Link}|Refs],
+ Num,Sindex,IO,ManySchemas,AnyInstanceTests) ->
+ XsdBase = filename:dirname(Link),
+%% FileName = lists:flatten(io_lib:format("filename:join([?config(data_dir,Config), ~p])", [list_to_atom(Link)])),
+ AccState =
+ case Num of
+ 0 ->
+ "";
+ _ ->
+ ",{state," ++ mk_state(Sindex - 1) ++ "}"
+ end,
+ if
+ Sindex == 0 ->
+ emit(IO,[indent(2),mk_STResList(0)," = [],",nl,nl]);
+ true ->
+ ok
+ end,
+ RetState =
+ if
+ ManySchemas;AnyInstanceTests ->
+ mk_state(Sindex);
+ true ->
+ "_"
+ end,
+%% emit(IO,[indent(2),"?line ",mk_state(Num)," = xmerl_xsd:process_schema(",nl,
+%% indent(25),FileName,",",nl,
+%% indent(25),"[{xsdbase,filename:join([?config(data_dir,Config),'",
+%% XsdBase,"'])}",AccState,"]),",nl]),
+ emit(IO,[indent(2),"?line {",mk_STRes(Sindex),",",RetState,
+ "} = xmerl_xsd_lib:schema_test(Config,",
+ list_to_atom(Link),",",list_to_atom(XsdBase),",",RetVal,AccState,"),",nl]),
+ emit(IO,[indent(2),mk_STResList(Sindex+1)," = [",mk_STRes(Sindex),"|",
+ mk_STResList(Sindex),"],",nl]),
+ generate_schema_validation_call(RetVal,Refs,Num+1,Sindex+1,IO,
+ ManySchemas,AnyInstanceTests).
+
+%% 0 or many instanceTests / testGroup
+generate_instance_tests([],_,EI,_IO) ->
+ EI;
+generate_instance_tests([InstanceTest|ITs],State,Num,IO) ->
+ case xmerl_xpath:string("current[@status=\"accepted\" or @status=\"stable\"]",InstanceTest) of
+ [] ->
+ ok;
+ _ ->
+ if
+ Num == 0 ->
+ emit(IO,[indent(2),mk_ITResList(0)," = [],",nl]);
+ true ->
+ ok
+ end,
+ [Ref] = xmerl_xpath:string("instanceDocument/@xlink:href",InstanceTest),
+ ExpectedValue = xmerl_xpath:string("expected/@validity",
+ InstanceTest),
+ ExpectedReturnValue = expected_return_value_it(ExpectedValue),
+ generate_instance_validation_call(ExpectedReturnValue,Ref,
+ State,Num,IO)
+ end,
+ case ITs of
+ [] -> ok; %%emit(IO,[".",nl]);
+ _ ->ok% emit(IO,[",",nl])
+ end,
+ generate_instance_tests(ITs,State,Num+1,IO).
+
+generate_instance_validation_call(ExpectedReturnValue,
+ #xmlAttribute{value=Link},State,Num,IO) ->
+ XMLBase = filename:dirname(Link),
+ %%FileName = filename:basename(Link),
+%% FileName = lists:flatten(io_lib:format("filename:join([?config(data_dir,Config), ~p])", [list_to_atom(Link)])),
+
+%% E = mk_E(Num),
+
+ Res = mk_ITRes(Num),
+
+%% emit(IO,[indent(2),"?line {",E,",_} = xmerl_scan:file(",FileName,",",nl,
+%% indent(32),"[{xmlbase,filename:join([?config(data_dir,Config),'",
+%% XMLBase,"'])}]),",nl]),
+
+ emit(IO,[indent(2),"?line ",Res," = xmerl_xsd_lib:instance_test(Config,",list_to_atom(Link),",",list_to_atom(XMLBase),",",ExpectedReturnValue,",",State,"),",nl]),
+ emit(IO,[indent(2),mk_ITResList(Num+1)," = [",Res,"|",mk_ITResList(Num),"],",nl]).
+
+%% emit(IO,[indent(2),"?line ",ExpectedReturnValue,
+%% " = xmerl_xsd:validate(",E,",[{state,",State,"}])"]).
+
+any_tests([],[]) ->
+ false;
+any_tests(_,_) ->
+ true.
+
+any_instance_tests([]) ->
+ false;
+any_instance_tests(_) ->
+ true.
+
+expected_return_value_it([#xmlAttribute{value=Validity}]) ->
+ case Validity of
+ "valid" ->
+ valid;
+ "invalid" ->
+ invalid;
+ _ ->
+ notKnown
+ end;
+expected_return_value_it([]) ->
+ "_".
+
+expected_return_value_st([#xmlAttribute{value=Validity}],_Num) ->
+%% RetVal =
+ case Validity of
+%% "valid" -> "#xsd_state{errors=[]}";
+%% "invalid" -> "#xsd_state{errors=[_Err|_Errs]}";
+%% _ -> "#xsd_state{}"
+%% end,
+%% " ?line " ++ RetVal ++ " = " ++ mk_state(Num).
+ "valid" ->
+ valid;
+ "invalid" ->
+ invalid;
+ _ ->
+ notKnown
+ end.
+
+create_suite_name([#xmlAttribute{value=Name}]) ->
+ FileName = lists:concat(['xmerl_','xsd_',Name,'_SUITE.erl']),
+ {ok,FileName};
+create_suite_name(_) ->
+ error.
+
+create_suite_file(FileName) ->
+ file:delete(FileName),
+ case file:open(FileName,[append]) of
+ {ok,IO} -> {ok,IO};
+ _ -> failed
+ end.
+
+emit_licens_text(IO) ->
+ emit(IO,[
+"%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2006-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%",nl,
+"%%",nl,
+"%%% Purpose : Test suite for the xmerl application",nl,nl,
+"%% Do NOT edit this file. It is generated by the generate_xsd_suite module",nl,"%% For more info read the comments in the header of that file.",nl,nl]).
+
+emit_module_header(IO,Module) ->
+ emit(IO,["-module(",{asis,Module},").",nl,nl]),
+ emit(IO,["-compile(export_all).",nl,nl]),
+ emit(IO,["-include(",{asis,"test_server.hrl"},").",nl]),
+ emit(IO,["-include_lib(",{asis,"xmerl/include/xmerl.hrl"},").",nl]),
+ emit(IO,["-include_lib(",{asis,"xmerl/include/xmerl_xsd.hrl"},").",nl,nl,nl]).
+
+emit_all_function(TestGroupNames,IO) ->
+
+ emit(IO,["all(suite) -> [",nl]),
+ emit(IO,[list_to_atom(X)||X<-indent_all(TestGroupNames)]),
+ emit(IO,["].",nl,nl,nl]).
+
+emit_init_per_suite(IO,Suite) ->
+ emit(IO,["%% initialization before the test suite",nl]),
+ emit(IO,["init_per_suite(Config) ->",nl,
+ " Dog=test_server:timetrap({minutes,10}),",nl,
+ " xmerl_xsd_lib:unpack(Config,",Suite,"),",nl,
+ " {ok,LogFile} = xmerl_xsd_lib:create_error_log_file(Config,",Suite,"),",nl,
+ " test_server:timetrap_cancel(Dog),",nl,
+ " [{suite,",Suite,"},{xmerl_error_log,LogFile}|Config].",nl,nl]),
+ emit(IO,["end_per_suite(Config) ->",nl,
+ " xmerl_xsd_lib:rmdir(Config,",Suite,"),",nl,
+ " xmerl_xsd_lib:close_error_log_file(Config),",nl,
+ " ok.",nl,nl]).
+
+emit_init_per_testcase(IO) ->
+ emit(IO,["%% initialization before each testcase",nl]),
+ emit(IO,["init_per_testcase(TestCase,Config) ->",nl,
+ indent(2),"Dog=test_server:timetrap({minutes,3}),",nl,
+ indent(2),"[{testcase,TestCase},{watchdog, Dog}|Config].",nl,nl]),
+ emit(IO,["%% clean up after each testcase",nl,
+ "end_per_testcase(_Func,Config) ->",nl,
+ indent(2),"Dog=?config(watchdog, Config),",nl,
+ indent(2),"test_server:timetrap_cancel(Dog),",nl,
+ indent(2),"ok.",nl,nl]).
+
+emit_test_case_func(false,TestCaseName,_Doc,IO) ->
+ emit(IO,["%% skipped testcase ",{asis,TestCaseName},".",nl,
+ "%% There were no schemaTest case or instanceTest case.",nl,nl]);
+emit_test_case_func(true,TestCaseName,Doc,IO) ->
+%% emit(IO,indent_comment(Doc)),
+ emit(IO,Doc),
+ emit(IO,[nl,{asis,list_to_atom(TestCaseName)},"(Config) when is_list(Config) ->",nl]).
+
+
+emit_result_list_test(IO,0,0) ->
+ emit(IO,[" xmerl_xsd_lib:compare_test_results(Config,[],[]).",nl,nl]);
+emit_result_list_test(IO,STI,0) ->
+ emit(IO,[" xmerl_xsd_lib:compare_test_results(Config,",mk_STResList(STI),
+ ",[]).",nl,nl]);
+emit_result_list_test(IO,0,ITI) ->
+ emit(IO,[" xmerl_xsd_lib:compare_test_results(Config,[],",mk_ITResList(ITI),
+ ").",nl,nl]);
+emit_result_list_test(IO,STI,ITI) ->
+ emit(IO,[" xmerl_xsd_lib:compare_test_results(Config,",mk_STResList(STI),
+ ",",mk_ITResList(ITI),").",nl,nl]).
+
+emit_schema_result_list(_IO,0,_) ->
+ ok;
+emit_schema_result_list(IO,SIndex,0) ->
+ emit(IO,[indent(2),"STResults = lists:reverse(",mk_STResList(SIndex),"),",nl]);
+emit_schema_result_list(IO,SIndex,_) ->
+ emit(IO,[indent(2),"STResults = lists:reverse(",mk_STResList(SIndex),"),",nl]).
+
+emit_instance_result_list(_IO,0,0) ->
+ ok;
+emit_instance_result_list(IO,_,0) ->
+ emit(IO,[indent(2),"xmerl_xsd_lib:compare_test_results(Config,STResults,[])).",nl]);
+emit_instance_result_list(IO,0,I) ->
+ emit(IO,[indent(2),"xmerl_xsd_lib:compare_test_results(Config,[],lists:reverse(",mk_ITResList(I),")).",nl]);
+emit_instance_result_list(IO,_,I) ->
+%% emit(IO,indent_all(res_list(0,I))).
+%% emit(IO,["ITResults =",nl,"["]++res_list(0,I-1,fun mk_ITRes/1)++["].",nl]).
+ emit(IO,[indent(2),"xmerl_xsd_lib:compare_test_results(Config,STResults,lists:reverse(",mk_ITResList(I),")).",nl]).
+
+
+emit(IO,[nl|T]) ->
+ io:format(IO,"~n",[]),
+ emit(IO,T);
+emit(IO,[comma|T]) ->
+ io:format(IO,",",[]),
+ emit(IO,T);
+emit(IO,[H|T]) ->
+ print(IO,H),
+ emit(IO,T);
+emit(_,[]) ->
+ ok.
+
+print(IO,Str) when is_list(Str) ->
+ io:format(IO,"~s",[Str]);
+print(IO,{asis,Sym}) ->
+ io:format(IO,"~p",[Sym]);
+print(IO,Term) ->
+ io:format(IO,"~p",[Term]).
+
+mk_state(I) ->
+ mk_VarNum("S",I).
+
+mk_E(Num) ->
+ mk_VarNum("E",Num).
+
+mk_VarNum(Var,Num) ->
+ lists:concat([Var,Num]).
+
+mk_ITRes(Num) ->
+ mk_VarNum("ITRes",Num).
+mk_STRes(Num) ->
+ mk_VarNum("STRes",Num).
+mk_STResList(Num) ->
+ mk_VarNum("STResList",Num).
+mk_ITResList(Num) ->
+ mk_VarNum("ITResList",Num).
+
+res_list(N,N,VariableFun) ->
+ [VariableFun(N)];
+res_list(M,N,VariableFun) ->
+ [VariableFun(M),comma|res_list(M+1,N,VariableFun)].
+
+indent(I) ->
+ lists:flatten(lists:duplicate(I," ")).
+
+indent_all([H|T]) ->
+ indent_all(T,length(H),[H]).
+indent_all([],_Col,Acc) ->
+ lists:reverse(Acc);
+indent_all([H|T],Col,Acc) when is_list(H) ->
+ case length(H)+Col of
+ I when I < 80 ->
+ indent_all(T,I+1,[H,"comma"|Acc]);
+ _I ->
+ indent_all(T,length(H),[H,"nl","comma"|Acc])
+ end.
+
+indent_comment(Comment) ->
+ indent_comment(string:tokens(Comment,"\n\t\s"),3,["%% "]).
+indent_comment([],_,Acc) ->
+ lists:reverse(Acc);
+indent_comment([H|T],Col,Acc) ->
+ case length(H) + Col of
+ I when I > 80 ->
+ indent_comment(T,length(H) + 3,[H,"%% ",nl|Acc]);
+ I ->
+ indent_comment(T,I + 1,[H," "|Acc])
+ end.
+
+test_case_names(nist) ->
+ [X||X<-test_case_prefix(nist)];
+test_case_names(msx) ->
+ [X||X<-lists:map(fun({N,_})->N;(N)when is_list(N) -> N end,test_case_prefix(msx))];
+test_case_names(sun) ->
+ test_case_prefix(sun).
+
+abbrev("NISTXMLSchema1-0-20020116.testSet") ->
+ nist;
+abbrev("MSXMLSchema1-0-20020116.testSet") ->
+ msx;
+abbrev(_) ->
+ sun.
+test_case_prefix(msx) ->
+ ["att","ct","elem","group","idc_","id",
+ {"mgABCD",["mgA","mgB","mgC","mgD"]},
+ {"mgEFG",["mgE","mgF","mgG"]},{"mgHIJ",["mgH","mgI","mgJ"]},
+ "mgK",{"mgLM",["mgL","mgM"]},"mgN",{"mgOP",["mgO","mgP"]},
+ {"mgQR",["mgQ","mgR"]},"mgS",
+ {"particlesAB",["particlesA","particlesB"]},
+ {"particlesCDE",["particlesC","particlesD","particlesE"]},
+ {"particlesFHI",["particlesF","particlesH","particlesI"]},
+ "particlesJ",
+ {"particlesKOSRTQUVW",
+ ["particlesK","particlesO","particlesS","particlesR","particlesT",
+ "particlesQ","particlesU","particlesV","particlesW"]},
+ {"stABCDE",["stA","stB","stC","stD","stE"]},
+ {"stFGH",["stF","stG","stH"]},{"stIJK",["stI","stJ","stK"]},
+ "stZ",{"wildABCDEF",["wildA","wildB","wildC","wildD","wildE","wildF"]},
+ {"wildGHI",["wildG","wildH","wildI"]},
+ {"wildJKLMNQOP",["wildJ","wildK","wildL","wildM","wildN",
+ "wildQ","wildO","wildP"]},"wildZ"];
+test_case_prefix(nist) ->
+ ["NISTSchema-anyURI","NISTSchema-base64Binary","NISTSchema-boolean",
+ "NISTSchema-byte","NISTSchema-date-","NISTSchema-dateTime",
+ "NISTSchema-decimal","NISTSchema-double","NISTSchema-duration",
+ "NISTSchema-float","NISTSchema-gDay","NISTSchema-gMonth-",
+ "NISTSchema-gMonthDay","NISTSchema-gYear-","NISTSchema-gYearMonth",
+ "NISTSchema-hexBinary","NISTSchema-ID","NISTSchema-int-",
+ "NISTSchema-integer","NISTSchema-language","NISTSchema-long",
+ "NISTSchema-Name","NISTSchema-NCName","NISTSchema-negativeInteger",
+ "NISTSchema-NMTOKEN","NISTSchema-nonNegativeInteger",
+ "NISTSchema-nonPositiveInteger","NISTSchema-normalizedString",
+ "NISTSchema-positiveInteger","NISTSchema-QName","NISTSchema-short",
+ "NISTSchema-string","NISTSchema-time","NISTSchema-token",
+ "NISTSchema-unsignedByte","NISTSchema-unsignedInt",
+ "NISTSchema-unsignedLong","NISTSchema-unsignedShort"];
+test_case_prefix(sun) ->
+ ["Sun-idc001.nogen", "Sun-idc002.e", "Sun-idc002b.e", "Sun-idc003.e",
+ "Sun-idc004.nogen", "Sun-idc004a.e", "Sun-idc005.nogen",
+ "Sun-idc006.nogen", "Sun-xsd001", "Sun-xsd002", "Sun-xsd003-1.e",
+ "Sun-xsd003-2.e", "Sun-xsd003a", "Sun-xsd003b", "Sun-xsd004",
+ "Sun-xsd005", "Sun-xsd006", "Sun-xsd008", "Sun-xsd011", "Sun-xsd012",
+ "Sun-xsd013.e", "Sun-xsd014.e", "Sun-xsd015.e", "Sun-xsd016.e",
+ "Sun-xsd017.e", "Sun-xsd018.e", "Sun-xsd019.e", "Sun-xsd020.e",
+ "Sun-xsd020-2.e", "Sun-xsd020-3.e", "Sun-xsd020-4.e", "Sun-xsd021",
+ "Sun-xsd022", "Sun-xsd023.e", "Sun-xsiType1", "Sun-xsiType-block-1",
+ "Sun-xsiType-block-2", "Sun-xsiType-block-3", "Sun-xsiType-block-4",
+ "Sun-type-and-subst-1"].
+
+comment([$S,$u,$n|_],[TG]) ->
+ case xmerl_xpath:string("annotation/documentation/text()",TG) of
+ L=[#xmlText{}|_] ->
+ ["%% "|[X||#xmlText{value=X}<-L]];
+ _ ->
+ ["%%"]
+ end;
+comment("att",_) ->
+ ["%% Syntax Checking for Attribute Declaration",nl];
+comment("ct",_) ->
+ ["%% Syntax Checking for top level complexType Declaration.",nl,
+ "%% Syntax Checking for simpleContent complexType Declaration.",nl,
+ "%% Syntax Checking for comlexContent complexType Declaration",nl,
+ "%% complexType Validation checking",nl,
+ "%% complexType Schema Component Constraints",nl];
+comment("elem",_) ->
+ ["%% 3.3.2 XML Representation of Element Declaration.",nl,
+ "%% 3.3.4 Element Declaration Validation Rules.",nl,
+ "%% element Validation checking.",nl,
+ "%% Regular Expression Validation checking.",nl,
+ "%% Bug Regressions Specs section: 3.3.4",nl];
+comment("group",_) ->
+ ["%% Syntax Checking Model Group Tests.",nl,
+ "%% Content Checking Model Group Tests.",nl];
+comment("idc_",_) ->
+ ["%% 3.11.1 The Identity-constraint Definition Schema Component.",nl];
+comment("id",_) ->
+ ["%% Identity-constraint Definition Schema Component.",nl,
+ "%% Identity-constraint Validation Rules.",nl,
+ "%% Selector identity-constraint xpath bnf.",nl,
+ "%% Field identity-constraint xpath bnf.",nl,
+ "%% XPath validation.",nl,
+ "%% Bug Regressions",nl];
+comment("mgABCD",_) ->
+ ["%% model groups (ALL).",nl];
+comment("mgEFG",_) ->
+ ["%% model groups ( sequence ).",nl];
+comment("mgHIJ",_) ->
+ ["%% model groups ( choice ).",nl];
+comment("mgK",_) ->
+ ["%% model group validation checking (sequence).",nl];
+comment("mgLM",_) ->
+ ["%% model group validation checking (choice, all).",nl];
+comment("mgN",_) ->
+ ["%% Element Sequence Valid.",nl];
+comment("mgOP",_) ->
+ ["%% All Group Limited.",nl];
+comment("mgQR",_) ->
+ ["%% Element Declarations Consistent, 3.8.6",nl];
+comment("mgS",_) ->
+ ["%% Deterministic Sequences.",nl];
+comment("particlesAB",_) ->
+ ["%% 3.9.1 The Particle Schema Component.",nl];
+comment("particlesCDE",_) ->
+ ["%% 3.9.4 Particle Validation Rules: Element Sequence Locally Valid.",nl];
+comment("particlesFHI",_) ->
+ ["%% 3.9.6 Schema Component Constraint: Particle ....",nl];
+comment("particlesJ",_) ->
+ ["%% 3.9.6 Particle Derivation.",nl];
+comment("particlesKOSRTQUVW",_) ->
+ ["%% 3.9.6 Particle Restriction.",nl];
+comment("stABCDE",_) ->
+ ["%% Syntax Checking for simpleType Declaration.",nl];
+comment("stFGH",_) ->
+ ["%% simpleType Validation checking.",nl];
+comment("stIJK",_) ->
+ ["%% simpleType Schema Component Constraints.",nl];
+comment("stZ",_) ->
+ ["%% Bug Regressions.",nl];
+comment("wildABCDEF",_) ->
+ ["%% Syntax Validation - any.",nl];
+comment("wildGHI",_) ->
+ ["%% 3.10.4 Wildcard Validation Rules - any.",nl];
+comment("wildJKLMNQOP",_) ->
+ ["%% Syntax Validation - anyAttribute.",nl];
+comment("wildZ",_) ->
+ ["%% Bugs - Wildcards.",nl];
+comment("NISTSchema-anyURI",_) ->
+ ["%% Data type derived by restriction of anyURI by facets",nl];
+comment("NISTSchema-base64Binary",_) ->
+ ["%% Data type derived by restriction of base64binary by facets",nl];
+comment("NISTSchema-boolean",_) ->
+ ["%% Data type derived by restriction of boolean by facets",nl];
+comment("NISTSchema-byte",_) ->
+ ["%% Data type derived by restriction of byte by facets",nl];
+comment("NISTSchema-date-",_) ->
+ ["%% Data type derived by restriction of date by facets",nl];
+comment("NISTSchema-dateTime",_) ->
+ ["%% Data type derived by restriction of dateTime by facets",nl];
+comment("NISTSchema-decimal",_) ->
+ ["%% Data type derived by restriction of decimal by facets",nl];
+comment("NISTSchema-double",_) ->
+ ["%% Data type derived by restriction of double by facets",nl];
+comment("NISTSchema-duration",_) ->
+ ["%% Data type derived by restriction of duration by facets",nl];
+comment("NISTSchema-float",_) ->
+ ["%% Data type derived by restriction of float by facets",nl];
+comment("NISTSchema-gDay",_) ->
+ ["%% Data type derived by restriction of gDay by facets",nl];
+comment("NISTSchema-gMonth-",_) ->
+ ["%% Data type derived by restriction of gMonth by facets",nl];
+comment("NISTSchema-gMonthDay",_) ->
+ ["%% Data type derived by restriction of gMonthDay by facets",nl];
+comment("NISTSchema-gYear-",_) ->
+ ["%% Data type derived by restriction of gYear by facets",nl];
+comment("NISTSchema-gYearMonth",_) ->
+ ["%% Data type derived by restriction of gYearMonth by facets",nl];
+comment("NISTSchema-hexBinary",_) ->
+ ["%% Data type derived by restriction of hexBinary by facets",nl];
+comment("NISTSchema-ID",_) ->
+ ["%% Data type derived by restriction of ID by facets",nl];
+comment("NISTSchema-int-",_) ->
+ ["%% Data type derived by restriction of int by facets",nl];
+comment("NISTSchema-integer",_) ->
+ ["%% Data type derived by restriction of integer by facets",nl];
+comment("NISTSchema-language",_) ->
+ ["%% Data type derived by restriction of language by facets",nl];
+comment("NISTSchema-long",_) ->
+ ["%% Data type derived by restriction of long by facets",nl];
+comment("NISTSchema-Name",_) ->
+ ["%% Data type derived by restriction of Name by facets",nl];
+comment("NISTSchema-NCName",_) ->
+ ["%% Data type derived by restriction of NCName by facets",nl];
+comment("NISTSchema-negativeInteger",_) ->
+ ["%% Data type derived by restriction of negativeInteger by facets",nl];
+comment("NISTSchema-NMTOKEN",_) ->
+ ["%% Data type derived by restriction of NMTOKEN by facets",nl];
+comment("NISTSchema-nonNegativeInteger",_) ->
+ ["%% Data type derived by restriction of nonNegativeInteger by facets",nl];
+comment("NISTSchema-nonPositiveInteger",_) ->
+ ["%% Data type derived by restriction of nonPositiveInteger by facets",nl];
+comment("NISTSchema-normalizedString",_) ->
+ ["%% Data type derived by restriction of normalizedString by facets",nl];
+comment("NISTSchema-positiveInteger",_) ->
+ ["%% Data type derived by restriction of positiveInteger by facets",nl];
+comment("NISTSchema-QName",_) ->
+ ["%% Data type derived by restriction of QName by facets",nl];
+comment("NISTSchema-short",_) ->
+ ["%% Data type derived by restriction of short by facets",nl];
+comment("NISTSchema-string",_) ->
+ ["%% Data type derived by restriction of string by facets",nl];
+comment("NISTSchema-time",_) ->
+ ["%% Data type derived by restriction of time by facets",nl];
+comment("NISTSchema-token",_) ->
+ ["%% Data type derived by restriction of token by facets",nl];
+comment("NISTSchema-unsignedByte",_) ->
+ ["%% Data type derived by restriction of unsignedByte by facets",nl];
+comment("NISTSchema-unsignedInt",_) ->
+ ["%% Data type derived by restriction of unsignedInt by facets",nl];
+comment("NISTSchema-unsignedLong",_) ->
+ ["%% Data type derived by restriction of unsignedLong by facets",nl];
+comment("NISTSchema-unsignedShort",_) ->
+ ["%% Data type derived by restriction of unsignedShort by facets",nl].
+
+
+emit_schema_test(IO) ->
+ emit(IO,["schema_test(Config,FileName,XsdBase,Validity) ->",nl,
+ indent(3),"{Ok,S} = xmerl_xsd:process_schema(",
+ "filename:join([?config(data_dir,Config),FileName]),",nl,
+ indent(25),
+ "[{xsdbase,filename:join([?config(data_dir,Config),XsdBase])}]),",nl,
+ indent(3),"case Validity of",nl,
+ indent(6),valid ," when Ok == ok ->",nl,
+ indent(9),"{{filename:basename(FileName),S#xsd_state.errors == []},S};", nl,
+ indent(6),invalid," when Ok == error ->",nl,
+ indent(9), "{{filename:basename(FileName),true},S};",nl,
+ indent(6),notKnown," ->",nl,
+ indent(9),"{{filename:basename(FileName),true},S};",nl,
+ indent(6),"_ -> {{filename:basename(FileName),false},S}",nl,
+ indent(3),"end.",nl]),
+ emit(IO,["schema_test(Config,FileName,XsdBase,Validity,AccState) ->",nl,
+ indent(3),"{Ok,S2} = xmerl_xsd:process_schema(",
+ "filename:join([?config(data_dir,Config),FileName]),",nl,
+ indent(25),
+ "[{xsdbase,filename:join([?config(data_dir,Config),XsdBase])}, AccState]),",nl,
+ indent(3),"case Validity of",nl,
+ indent(6),valid," when Ok == ok ->",nl,
+ indent(9),"{{filename:basename(FileName),S2#xsd_state.errors == []},S2};", nl,
+ indent(6),invalid," when Ok == error ->",nl,
+ indent(9), "{{filename:basename(FileName),true},S2};",nl,
+ indent(6),notKnown," ->",nl,
+ indent(9),"{{filename:basename(FileName),true},S2};",nl,
+ indent(6),"_ -> {{filename:basename(FileName),false},S2}",nl,
+ indent(3),"end.",nl]).
+
+emit_instance_test(IO) ->
+ emit(IO,["instance_test(Config,FileName,XMLBase,Validity,State) ->",nl]),
+ emit(IO,[indent(3),"{E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),FileName]),",nl,
+ indent(19),"[{xmlbase,filename:join([?config(data_dir,Config),",nl,
+ indent(19),"XMLBase])}]),",nl]),
+ emit(IO,[indent(3),"{VE,S2} = xmerl_xsd:validate(E,[{state,State}]),",nl]),
+ emit(IO,[indent(3),"case Validity of",nl,
+ indent(6),"valid when is_record(VE,xmlElement) ->",nl,
+ indent(9),"{filename:basename(FileName),S2#xsd_state.errors == []};",nl,
+ indent(6),"invalid when VE == error ->",nl,
+ indent(9),"{filename:basename(FileName),true};",nl,
+ indent(6),"notKnown ->",nl,
+ indent(9),"{filename:basename(FileName),true};",nl,
+ indent(6),"_ ->",nl,
+ indent(9),"{filename:basename(FileName),false}",nl,
+ indent(3),"end.",nl]).
+%% emit(IO,[indent(3),"{VE,_} = xmerl_xsd:validate(E,[{state,State}]),",nl]),
+%% emit(IO,[indent(3),"{filename:basename(FileName),VE == ExpectedRetVal}."]).
+
+exclude_case(_Suite,[]) ->
+ false;
+exclude_case(Suite,[#xmlAttribute{value=Name}]) ->
+ exlude_case(Suite,Name).
+
+exlude_case(msx,Case) ->
+ lists:member(Case,["attC002","mgS002","mgS003","mgS004","mgS005"]);
+exlude_case(_,_) ->
+ false.
diff --git a/lib/xmerl/test/proprietary/mylib.erl b/lib/xmerl/test/proprietary/mylib.erl
new file mode 100644
index 0000000000..2e154a5e5f
--- /dev/null
+++ b/lib/xmerl/test/proprietary/mylib.erl
@@ -0,0 +1,54 @@
+%%%-------------------------------------------------------------------
+%%% File : mylib.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 20 Sep 2006 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(mylib).
+-export([fetch/2,path_locate/3]).
+-include("xmerl_xsd.hrl").
+-include("xmerl.hrl").
+-include_lib("kernel/include/file.hrl").
+
+fetch(URI,S) ->
+ Split = filename:split(URI),
+ Filename = fun([])->[];(X)->lists:last(X) end (Split),
+ Fullname =
+ case Split of %% how about Windows systems?
+ ["file:"|Name]-> %% absolute path, see RFC2396 sect 3
+ %% file:/dtd_name
+ filename:join(["/"|Name]);
+ ["/"|Rest] when Rest /= [] ->
+ %% absolute path name
+ URI;
+ ["http:"|_Rest] ->
+ {http,URI};
+ [] -> %% empty systemliteral
+ [];
+ _ ->
+ filename:join(S#xsd_state.xsd_base, URI)
+ end,
+ Path = ?MODULE:path_locate(S#xsd_state.fetch_path, Filename, Fullname),
+ {ok, Path, S}.
+
+path_locate(_, _, {http,URI}) ->
+ application:start(inets),
+ http:set_options([{proxy, {{"www-proxy.ericsson.se", 8080},["localhost"]}}]),
+ {ok,{{_Version, 200, _ReasonPhrase}, _Headers, Body}} =
+ http:request(get, {URI, []}, [], []),
+ {string,Body};
+path_locate(_, _, []) ->
+ [];
+path_locate([Dir|Dirs], FN, FullName) ->
+ F = filename:join(Dir, FN),
+ case file:read_file_info(F) of
+ {ok, #file_info{type = regular}} ->
+ {file,F};
+ _ ->
+ path_locate(Dirs, FN, FullName)
+ end;
+path_locate([], _FN, FullName) ->
+ {file,FullName}.
+
+
diff --git a/lib/xmerl/test/test_xmerl.erl b/lib/xmerl/test/test_xmerl.erl
new file mode 100644
index 0000000000..d2c5d35a85
--- /dev/null
+++ b/lib/xmerl/test/test_xmerl.erl
@@ -0,0 +1,329 @@
+%%%-------------------------------------------------------------------
+%%% File : test_xmerl.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 2 Dec 2003 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(test_xmerl).
+
+-compile(export_all).
+
+-define(xmerl_test_root,"/ldisk/xml/xml-test-suite/xmlconf").
+-define(jclark_subdir,"/ldisk/xml/xml-test-suite/xmlconf/xmltest").
+-define(sun_subdir,"/ldisk/xml/xml-test-suite/xmlconf/sun").
+-define(xerox_subdir,"/ldisk/xml/xml-test-suite/xmlconf/japanese").
+-define(oasis_subdir,"/ldisk/xml/xml-test-suite/xmlconf/oasis").
+-define(ibm_subdir,"/ldisk/xml/xml-test-suite/xmlconf/ibm").
+
+
+get_xmlconf() ->
+ FileName = filename:join(?xmerl_test_root,"xmlconf.xml"),
+ {ok,L} = read_file(FileName),
+ L.
+
+read_file(FileName) ->
+ case file:read_file(FileName) of
+ {ok,Binary} ->
+ {ok,binary_to_list(Binary)};
+ Err ->
+ exit({error,Err})
+ end.
+
+get_file(F="sun"++_Rest) ->
+ get_sun_xml(F);
+get_file(F="jclark-xmltest") ->
+ get_jclark_xml(F);
+get_file(F="xerox-japanese") ->
+ get_xerox_xml(F);
+get_file(F="nist-oasis") ->
+ get_oasis_xml(F);
+get_file("ibm-"++Rest) ->
+ get_ibm_xml(Rest).
+
+
+get_sun_xml(F) ->
+ FileName = filename:join([?sun_subdir,F++".xml"]),
+ {ok,L} = read_file(FileName),
+ L.
+get_jclark_xml(_F) ->
+ FileName = filename:join([?jclark_subdir,"xmltest.xml"]),
+ {ok,L} = read_file(FileName),
+ L.
+get_xerox_xml(_F) ->
+ FileName = filename:join([?xerox_subdir,"japanese.xml"]),
+ {ok,L} = read_file(FileName),
+ L.
+get_oasis_xml(_F) ->
+ FileName = filename:join([?oasis_subdir,"oasis.xml"]),
+ {ok,L} = read_file(FileName),
+ L.
+get_ibm_xml(F) ->
+ FileName = filename:join([?ibm_subdir,"ibm_oasis_"++F++".xml"]),
+ {ok,L} = read_file(FileName),
+ L.
+
+%% The generated xml file must have a unique name: concatenate the
+%% sub directory name and the ID of the TEST tag.
+%% In each file the start of xmlconf.xml is included. Then a TEST
+%% follows. It must be properly finished with end tags.
+extract_TESTSUITEs() ->
+ TestSuites = ["sun-valid","sun-invalid","sun-not-wf","sun-error",
+ "jclark-xmltest","xerox-japanese","nist-oasis",
+ "ibm-invalid","ibm-not-wf","ibm-valid"],
+ Pid=spawn_link(?MODULE,ticker,[]),
+ lists:foreach(fun extract_TESTCASES/1,TestSuites),
+ generate_testfuncs(),
+ xmerl_ticker ! finished.
+
+extract_TESTCASES(Suite) ->
+% io:format("extract_TESTCASES:1~n",[]),
+ L = get_file(Suite), % fetch xml file in sub directory
+% io:format("Reading Suite: ~p~n",[Suite]),
+ Prol = xmlconf_prolog(),
+% io:format("Reading xmlconf.xml~n",[]),
+ xmerl_ticker ! {suite,Suite},
+ extract_TESTs(Suite,L,Prol,[]).
+
+extract_TESTs(Suite,TCfile,Prol,TCAcc) ->
+ case find_start_tag(Suite,TCfile,[],TCAcc) of
+ {[],_,_,_} -> ok;
+%% print_filenames();
+ {T,Rest,TC,ID} ->
+ generate_TEST(Suite,ID,Prol,TC,T),
+ extract_TESTs(Suite,Rest,Prol,TC)
+% file_output("out.xml",L1)
+ end.
+
+generate_TEST(Suite,ID,Prolog,TC,T) ->
+% GenDir = filename:join(["test",sub_dir(Suite)]),
+ GenDir = sub_dir(Suite),
+ Extension = extension(Suite),
+% Filename = filename:join([GenDir,ID ++ Extension]),
+ Filename = mk_filename(Suite,GenDir,ID ++ Extension),
+ save_filename(Suite,ID),
+ {ok,IOF}=file:open(Filename,[write]),
+ TSEnding = testsuite_end(),
+ TCEnding = testcases_end(length(TC)),
+ file:write(IOF,Prolog ++ "\n\n" ++ TC ++ "\n\n" ++ T ++ "\n\n" ++
+ TCEnding++ "\n\n" ++ TSEnding),
+ file:close(IOF).
+
+save_filename("xerox-japanese",Name) ->
+ NewName = "japanese-"++Name,
+ save_filename1(NewName);
+save_filename(_,Name) ->
+ save_filename1(Name).
+
+save_filename1(Name) ->
+ Saves = case get(filenames) of
+ undefined ->
+ [];
+ L -> L
+ end,
+ put(filenames,[Name|Saves]).
+
+generate_testfuncs() ->
+ Filenames = get(filenames),
+ Res = (catch generate_testfuncs(lists:reverse(Filenames))),
+ io:format("~p~n",[Res]).
+generate_testfuncs(Filenames) ->
+ file:delete(testfuncs.erl),
+ {ok,IOF} = file:open(testfuncs.erl,[append]),
+ lists:foreach(fun(X) ->
+ RN = filename:rootname(X),
+ TestDirPath = "filename:join([?config(data_dir,Config),"++xmerl_SUITE:testcase_dir(list_to_atom(RN)),
+ file:write(IOF,"'"++RN++"'(suite) -> [];\n'"++RN++
+ "'(Config) ->\n"++
+ " ?line file:set_cwd(?config(data_dir,Config)),\n"++
+ " ?line {A,_} = xmerl_scan:file("++
+ TestDirPath++",\""++RN++".xml\"])"++
+ ",[]),\n"++
+ " ?line C = xmerl:export([A],xmerl_test)."++
+ "\n\n") end,Filenames),
+ file:close(IOF),
+ io:format("~ngenerated ~w testcases.erl.~n",[length(Filenames)]).
+
+print_filenames() ->
+ Filenames = get(filenames),
+ io:format("~n,~w files generated.~n[",[length(Filenames)]),
+ lists:foreach(fun(X) ->
+ io:format("~p,",[list_to_atom(X)]) end,
+ Filenames),
+ io:format("]~n"),
+ put(filenames,[]).
+
+
+mk_filename("xerox-japanese",GenDir,Name) ->
+ filename:join([GenDir,"japanese-"++Name]);
+mk_filename(_,GenDir,Name) ->
+ filename:join([GenDir,Name]).
+
+file_output(Filename,Content) ->
+ {ok,IOF}=file:open(Filename,[write]),
+ C1 = xmlconf_prolog(),
+ C2 = xmlconf_end(),
+ file:write(IOF,C1 ++ "\n\n" ++ Content ++ "\n\n" ++ C2),
+ file:close(IOF).
+
+
+find_start_tag(Suite,"<TESTCASES"++Rest,TAcc,TCAcc) ->
+ {L,Rest2}=parse_until_end_TCs_skip_base(Rest,[]),
+ find_start_tag(Suite,Rest2,TAcc,["<TESTCASES"++L|TCAcc]);
+find_start_tag(Suite,"</TESTCASES>"++Rest,TAcc,[H|T]) ->
+ find_start_tag(Suite,Rest,TAcc,T);
+find_start_tag(Suite,"<TEST"++Rest,TAcc,TCAcc) ->
+ Id = extract_ID(Rest),
+ {L,Rest2} = parse_until_end_T(Suite,Rest,[]),
+ {"<TEST" ++ L,Rest2,TCAcc,Id};
+find_start_tag(Suite,[H|T],TAcc,TCAcc) ->
+ find_start_tag(Suite,T,TAcc,TCAcc);
+find_start_tag(_,[],TAcc,TCAcc) -> % no more tests
+ {TAcc,[],TCAcc,[]}.
+
+parse_until_end_T(Suite,"URI"++Rest,Acc) ->
+ {Up2URI,Rest2}=parse_upto_URI_val(Suite,Rest,["URI"]),
+ parse_until_end_T(Suite,Rest2,[Up2URI|Acc]);
+% parse_until_end_T(Suite,"</TEST>"++Rest,Acc) ->
+% {lists:flatten(lists:reverse(["</TEST>"|Acc])),Rest};
+parse_until_end_T(Suite,"OUTPUT"++Rest,Acc) ->
+ {Up2OUTPUT,Rest2}=parse_upto_URI_val(Suite,Rest,["OUTPUT"]),
+ parse_until_end_T(Suite,Rest2,[Up2OUTPUT|Acc]);
+parse_until_end_T(_Suite,"</TEST>"++Rest,Acc) ->
+ {lists:flatten(lists:reverse(["</TEST>"|Acc])),Rest};
+parse_until_end_T(Suite,[H|T],Acc) ->
+ parse_until_end_T(Suite,T,[H|Acc]);
+parse_until_end_T(_,[],Acc) ->
+ exit({error,{"unexpected end",lists:reverse(Acc)}}).
+
+parse_upto_URI_val(Suite,[H|Rest],Acc) when H==$\t;H==$\n;H==$\s ->
+ parse_upto_URI_val(Suite,Rest,[H|Acc]);
+parse_upto_URI_val(Suite,[H|Rest],Acc) when H/="=" ->
+ {lists:flatten(lists:reverse([H|Acc])),Rest};
+parse_upto_URI_val(Suite,[H|Rest],Acc) ->
+ parse_upto_URI_val2(Suite,Rest,[H|Acc]).
+
+parse_upto_URI_val2(Suite,[H|Rest],Acc) when H==$"; H==$' ->
+ SubDir = sub_dir(Suite),
+ {lists:flatten(lists:reverse([$/,SubDir,H|Acc])),Rest};
+parse_upto_URI_val2(Suite,[H|T],Acc) ->
+ parse_upto_URI_val2(Suite,T,[H|Acc]).
+
+parse_until_end_TCs_skip_base(">"++Rest,Acc) ->
+ {lists:flatten(lists:reverse(["\n",">"|Acc])),Rest};
+parse_until_end_TCs_skip_base("xml:base="++Rest,Acc) ->
+ Rest2=skip_base_def(Rest),
+ parse_until_end_TCs_skip_base(Rest2,Acc) ->
+parse_until_end_TCs_skip_base([H|T],Acc) ->
+ parse_until_end_TCs_skip_base(T,[H|Acc]).
+
+skip_base_def([Del|R]) ->
+ skip_base_def(R,Del).
+skip_base_def([Del|R],Del) ->
+ R;
+skip_base_def([_H|R],Del) ->
+ skip_base_def(R,Del).
+
+% parse_end_T("</TEST>"++Rest,Acc) ->
+% {lists:flatten(lists:reverse(["</TEST>"|Acc])),Rest};
+% parse_end_T([H|T],Acc) ->
+% parse_end_T(T,[H|Acc]).
+
+
+xmlconf_prolog() ->
+ FC = get_xmlconf(),
+ parse_xmlconf_prolog(FC,[]).
+
+
+%%
+extract_ID("ID"++Rest) ->
+ extract_ID2(Rest);
+extract_ID([H|T]) ->
+ extract_ID(T).
+
+extract_ID2([H|Rest]) when H==$";H==$' ->
+ extract_IDval(Rest,[]);
+extract_ID2([H|T]) -> %skip '=' and white space
+ extract_ID2(T).
+
+extract_IDval([H|Rest],Acc) when H==$";H==$' ->
+ lists:flatten(lists:reverse(Acc));
+extract_IDval([H|T],Acc) ->
+ extract_IDval(T,[H|Acc]).
+
+
+testsuite_end() ->
+ "</TESTSUITE>".
+
+testcases_end(0) ->
+ "";
+testcases_end(N) ->
+ "</TESTCASES>" ++ "\n" ++testcases_end(N-1).
+
+xmlconf_end() ->
+ "</TESTSUITE>".
+
+
+parse_xmlconf_prolog("href=\"xmlconformance.xsl\""++Rest,Acc) ->
+ HRef = lists:reverse("href=\"../../xmlconformance.xsl\""),
+ parse_xmlconf_prolog(Rest,HRef++Acc);
+parse_xmlconf_prolog("<!DOCTYPE TESTSUITE SYSTEM \"testcases.dtd\""++Rest,Acc) ->
+ Rest2 = skip_entity_defs(Rest),
+ DocType = lists:reverse("<!DOCTYPE TESTSUITE SYSTEM \"../../testcases.dtd\">"),
+ parse_xmlconf_prolog(Rest2,DocType++Acc);
+parse_xmlconf_prolog("<TESTSUITE"++Rest,Acc) ->
+ Acc2 = parse_until_TESTSUITE_tag(Rest,[]),
+ lists:flatten(lists:reverse([Acc2,"<TESTSUITE"|Acc]));
+parse_xmlconf_prolog([H|T],Acc) ->
+ parse_xmlconf_prolog(T,[H|Acc]);
+parse_xmlconf_prolog([],Acc) ->
+ exit({error,{"unexpected end",lists:reverse(Acc)}}).
+
+parse_until_TESTSUITE_tag(">"++_Rest,Acc) ->
+ lists:flatten(lists:reverse([">"|Acc]));
+parse_until_TESTSUITE_tag([H|T],Acc) ->
+ parse_until_TESTSUITE_tag(T,[H|Acc]);
+parse_until_TESTSUITE_tag([],Acc) ->
+ exit({error,{"unexpected end",lists:reverse(Acc)}}).
+
+skip_entity_defs("]>"++Rest) ->
+ Rest;
+skip_entity_defs([H|T]) ->
+ skip_entity_defs(T).
+
+sub_dir("jclark-xmltest") ->
+ "xmerl_SUITE_data/xmltest";
+sub_dir("xerox-japanese") ->
+ "xmerl_SUITE_data/japanese";
+sub_dir("sun"++_Rest) ->
+ "xmerl_SUITE_data/sun";
+sub_dir("nist-oasis") ->
+ "xmerl_SUITE_data/oasis";
+sub_dir("ibm"++_Rest) ->
+ "xmerl_SUITE_data/ibm".
+
+extension("ibm"++_R) ->
+ "";
+extension(_) ->
+ ".xml".
+
+%*****************************
+ticker() ->
+ register(xmerl_ticker,self()),
+ receive
+ {suite,Name} ->
+ io:format("~nGenerating suite ~p",[Name])
+ end,
+ ticker_loop().
+
+ticker_loop() ->
+ receive
+ {suite,Name} ->
+ io:format("~nGenerating suite ~p",[Name]),
+ ticker_loop();
+ finished ->
+ ok
+ after 400 ->
+% io:format(".",[]),
+ ticker_loop()
+ end.
diff --git a/lib/xmerl/test/testcases.dtd b/lib/xmerl/test/testcases.dtd
new file mode 100644
index 0000000000..448d362991
--- /dev/null
+++ b/lib/xmerl/test/testcases.dtd
@@ -0,0 +1,103 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!--
+ @(#)testcases.dtd 1.6 99/01/21
+ Original version copyright 1998 by Sun Microsystems, Inc.
+ All Rights Reserved.
+ Modifications copyright 1999 by OASIS.
+
+ 1999-06-09 00:20
+
+ DTD describing a database of XML tests.
+
+ NOTE: the OASIS/NIST test effort also has a DTD for its test
+ environment, but that DTD is not yet suited for automated test
+ processing. (Among other issues, it doesn't record information
+ putting any test case into the test matrix.) If that gets fixed,
+ it may be useful to switch over to that DTD.
+-->
+
+<!--
+ The root element of the whole collection is TESTSUITE. While not
+ very different from TESTCASES, it must be distinguished due to
+ improper processing by IE5.
+-->
+<!ELEMENT TESTSUITE ( TESTCASES+ )>
+<!ATTLIST TESTSUITE
+ PROFILE CDATA #IMPLIED
+ >
+
+<!--
+ The root element of a collection should be "TESTCASES". It groups
+ a set of tests in a particular "PROFILE", which is descriptive. For
+ example, a "Japanese" profile might group tests with documents
+ in standard Japanese encodings, using Japanese characters used
+ inside names and name tokens as well as inside text. Or the
+ profile might be associated with the test supplier.
+-->
+
+<!ELEMENT TESTCASES (TEST|TESTCASES)*>
+<!ATTLIST TESTCASES
+ PROFILE CDATA #IMPLIED
+ >
+
+<!--
+ The body of each TEST element is its description, used
+ to evaluate the diagnostic produced on negative tests.
+ People will generally read this to evaluate whether the
+ test failed for the correct reason.
+
+ The type of (external) ENTITIES required affect the results
+ permitted for certain types of nonvalidating parsers. In
+ some cases, errors (even well-formedness errors) can't be seen
+ without reading external parameter or general entities.
+
+ Each test has a unique ID used in diagnostics.
+
+ Tests with an OUTPUT attribute do dual duty: not only must parsers
+ accept the test, but the data they report must also have "Second
+ Canonical Form" as found in the specified output file. (This is
+ what James Clark titled "Canonical XML", with the addition of the
+ NOTATION declarations that all XML parsers are required to report.)
+
+ The OUTPUT3 attribute is like OUTPUT, but when sent through a
+ validating parser the data reported must have the "Third Canonical
+ Form" as found in the specified output file. (This is Second
+ Canonical form, with declarations of unparsed ENTITY values and
+ with all ignorable whitespace removed.)
+
+ Tests apply to one or more particular SECTIONS.
+
+ Each test has a TYPE:
+ * All parsers must accept "valid" testcases.
+ * Nonvalidating parsers must also accept "invalid" testcases,
+ but validating ones must reject them.
+ * No parser should accept a "not-wf" testcase unless it's a
+ nonvalidating parser and the test contains external entities
+ that the parser doesn't read.
+ * Parsers are not required to report "errors".
+
+ Each test is in a particular XML document, with a URI. If these
+ tests are accessed over a network, the path will be relative to
+ the base URI of the document holding the testcase.
+-->
+
+<!ELEMENT TEST (#PCDATA | EM | B)*>
+<!ATTLIST TEST
+ ENTITIES (both|none|parameter|general) "none"
+ ID ID #REQUIRED
+ OUTPUT CDATA #IMPLIED
+ OUTPUT3 CDATA #IMPLIED
+ SECTIONS CDATA #REQUIRED
+ TYPE (valid|invalid|not-wf|error) #REQUIRED
+ URI CDATA #REQUIRED
+ NAMESPACE (yes|no) "yes"
+ >
+
+<!--
+ Really basic HTML font tweaks, to support highlighting
+ some aspects of test descriptions ...
+ EM == emphasis (e.g. italics, fun colors)
+ B == bold
+-->
+<!ELEMENT EM (#PCDATA | B)*>
+<!ELEMENT B (#PCDATA | EM)*>
diff --git a/lib/xmerl/test/xmerl.cover b/lib/xmerl/test/xmerl.cover
new file mode 100644
index 0000000000..d0155946ea
--- /dev/null
+++ b/lib/xmerl/test/xmerl.cover
@@ -0,0 +1,2 @@
+{incl_app,xmerl,details}.
+
diff --git a/lib/xmerl/test/xmerl.spec b/lib/xmerl/test/xmerl.spec
new file mode 100644
index 0000000000..89ab31c755
--- /dev/null
+++ b/lib/xmerl/test/xmerl.spec
@@ -0,0 +1 @@
+{suites,"../xmerl_test",all}.
diff --git a/lib/xmerl/test/xmerl_SUITE.erl b/lib/xmerl/test/xmerl_SUITE.erl
new file mode 100644
index 0000000000..2061c32b3f
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE.erl
@@ -0,0 +1,398 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2008-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%% Purpose : Test suite for the ASN.1 application
+
+-module(xmerl_SUITE).
+
+-compile(export_all).
+
+%%----------------------------------------------------------------------
+%% Include files
+%%----------------------------------------------------------------------
+-include_lib("test_server/include/test_server.hrl").
+%%-include("xmerl.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+-include_lib("kernel/include/file.hrl").
+
+
+%%======================================================================
+%% Tests
+%%======================================================================
+
+%%----------------------------------------------------------------------
+%% Test groups
+%%----------------------------------------------------------------------
+all() ->
+ [{group, cpd_tests}, xpath_text1, xpath_main,
+ xpath_abbreviated_syntax, xpath_functions,
+ {group, misc}, {group, eventp_tests},
+ {group, ticket_tests}, {group, app_test},
+ {group, appup_test}].
+
+groups() ->
+ [{cpd_tests, [],
+ [cpd_invalid1, cpd_invalid1_index, cpd_invalid2_index,
+ cpd_invalid_index3, cpd_invalid_is_layer,
+ cpd_expl_provided_DTD]},
+ {misc, [],
+ [latin1_alias, syntax_bug1, syntax_bug2, syntax_bug3,
+ pe_ref1, copyright, testXSEIF, export_simple1, export]},
+ {eventp_tests, [], [sax_parse_and_export]},
+ {ticket_tests, [],
+ [ticket_5998, ticket_7211, ticket_7214, ticket_7430,
+ ticket_6873, ticket_7496, ticket_8156, ticket_8697]},
+ {app_test, [], [{xmerl_app_test, all}]},
+ {appup_test, [], [{xmerl_appup_test, all}]}].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+%%----------------------------------------------------------------------
+%% Initializations
+%%----------------------------------------------------------------------
+init_per_suite(doc) ->
+ ["Starts the test suite"];
+init_per_suite(Config) ->
+ Dog=test_server:timetrap({minutes,10}),
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line ok=erl_tar:extract("cpd.tar.gz",[compressed]),
+ ?line ok=erl_tar:extract("misc.tar.gz",[compressed]),
+ ?line ok = change_mode(["cpd", "misc"]),
+ ?line file:set_cwd(filename:join(?config(data_dir,Config),xpath)),
+ TestServerIncludeDir=filename:join(filename:dirname(code:priv_dir(test_server)), "include"),
+ ?line {ok, xpath_lib} = compile:file(xpath_lib, [{i, TestServerIncludeDir}]),
+ ?line {ok, xpath_text} = compile:file(xpath_text, [{i, TestServerIncludeDir}]),
+ ?line {ok, xpath_abbrev} = compile:file(xpath_abbrev, [{i, TestServerIncludeDir}]),
+ [{watchdog, Dog}|Config].
+
+
+-ifndef(dont_rm_test_dirs).
+end_per_suite(doc) ->
+ ["Stops the test suite"];
+end_per_suite(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line ok=rm_files(["cpd", "misc"]),
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ lists:keydelete(watchdog,1,Config).
+
+-else.
+end_per_suite(doc) ->
+ ["Stops the test suite"];
+end_per_suite(Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ lists:keydelete(watchdog,1,Config).
+-endif.
+
+
+%% initialization before each testcase
+init_per_testcase(_TestCase,Config) ->
+ io:format("Config:~n~p",[Config]),
+ ?line {ok, _} = file:read_file_info(filename:join([?config(priv_dir,Config)])),
+ ?line code:add_patha(?config(priv_dir,Config)),
+ Dog=test_server:timetrap({minutes,10}),
+ [{watchdog, Dog}|Config].
+
+
+%% clean up after each testcase
+end_per_testcase(_Func,Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ ok.
+
+
+%%----------------------------------------------------------------------
+%% Test cases
+%%----------------------------------------------------------------------
+cpd_invalid1(suite) -> [];
+cpd_invalid1(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line case catch xmerl_scan:file(filename:join([?config(data_dir,Config), cpd,"cpd_test.xml"]),[]) of
+ {'EXIT',{fatal,Reason}} ->
+ case Reason of
+ {expected_markup,_Path,28,32} -> ok;
+ _ -> {comment,"parsing changed behaviour"}
+ end
+ end.
+
+cpd_invalid1_index(suite) -> [];
+cpd_invalid1_index(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line case catch xmerl_scan:file(filename:join([?config(data_dir,Config), cpd,"cpd_index.xml"]),[]) of
+ {'EXIT',{fatal,Reason}} ->
+ case Reason of
+ {{error,{whitespace_was_expected}},_Path,1,19} -> ok;
+ _ -> {comment,"parsing changed behaviour"}
+ end
+ end.
+
+cpd_invalid2_index(suite) -> [];
+cpd_invalid2_index(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line case catch xmerl_scan:file(filename:join([?config(data_dir,Config), cpd,"cpd_index2.xml"]),[]) of
+ {'EXIT',{fatal,Reason}} ->
+ case Reason of
+ {{invalid_target_name,_Ver},_Path,2,3} ->ok;
+ _ -> {comment,"parsing changed behaviour"}
+ end
+ end.
+
+cpd_invalid_index3(suite) -> [];
+cpd_invalid_index3(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line case catch xmerl_scan:file(filename:join([?config(data_dir,Config), cpd,"cpd_index3.xml"]),[]) of
+ {'EXIT',{fatal,Reason}} ->
+ case Reason of
+ {expected_markup,_Path,1,2} -> ok;
+ _ -> {comment,"parsing changed behaviour"}
+ end
+ end.
+
+cpd_invalid_is_layer(suite) -> [];
+cpd_invalid_is_layer(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line case catch xmerl_scan:file(filename:join([?config(data_dir,Config), cpd,"is_layer2.xml"]),[]) of
+ {'EXIT',{fatal,_Reason}} -> ok
+ end.
+
+cpd_expl_provided_DTD(suite) -> [];
+cpd_expl_provided_DTD(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {#xmlElement{},[]} = xmerl_scan:file(filename:join([?config(data_dir,Config), cpd,"file_wo_DTD.xml"]),[{validation,true},{doctype_DTD,"separate_DTD.dtd"}]).
+
+%%----------------------------------------------------------------------
+
+xpath_text1(suite) -> [];
+xpath_text1(Config) ->
+ ?line file:set_cwd(filename:join(?config(data_dir,Config),xpath)),
+ ?line ok = xpath_text:one().
+
+xpath_main(suite) -> [];
+xpath_main(Config) ->
+ ?line file:set_cwd(filename:join(?config(data_dir,Config),xpath)),
+ ?line ok = xpath_lib:test().
+
+xpath_abbreviated_syntax(suite) -> [];
+xpath_abbreviated_syntax(Config) ->
+ ?line file:set_cwd(filename:join(?config(data_dir,Config),xpath)),
+ ?line ok = xpath_abbrev:test().
+
+xpath_functions(suite) -> [];
+xpath_functions(Config) ->
+ ?line file:set_cwd(filename:join(?config(data_dir,Config),xpath)),
+ ?line ok = xpath_abbrev:functions().
+
+%%----------------------------------------------------------------------
+
+latin1_alias(suite) -> [];
+latin1_alias(Config) ->
+% ?line file:set_cwd(filename:join(?config(data_dir,Config),misc)),
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {_Elements,[]}=
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ misc,"motorcycles.xml"]),
+ [{validation,true},
+ {encoding,'iso-8859-1'}]).
+
+syntax_bug1(suite) -> [];
+syntax_bug1(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {fatal,{"expected one of: ?>, standalone, encoding",
+ {file,'misc/syntax_bug1.xml'},{line,1},{col,21}}} =
+ case catch xmerl_scan:file('misc/syntax_bug1.xml') of
+ {'EXIT',Reason} ->
+ Reason;
+ Err -> Err
+ end.
+
+syntax_bug2(suite) -> [];
+syntax_bug2(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {fatal,{"expected one of: ?>, whitespace_character",
+ {file,'misc/syntax_bug2.xml'},{line,1},{col,20}}} =
+ case catch xmerl_scan:file('misc/syntax_bug2.xml') of
+ {'EXIT',Reason} ->
+ Reason;
+ Err -> Err
+ end.
+
+syntax_bug3(suite) -> [];
+syntax_bug3(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {fatal,{{endtag_does_not_match,{was,obj,should_have_been,int}},
+ {file,'misc/syntax_bug3.xml'},{line,4},{col,3}}} =
+ case catch xmerl_scan:file('misc/syntax_bug3.xml') of
+ {'EXIT',Reason} ->
+ Reason;
+ Err -> Err
+ end.
+
+pe_ref1(suite) -> [];
+pe_ref1(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {#xmlElement{},[]} = xmerl_scan:file(filename:join([?config(data_dir,Config), misc,"PE_ref1.xml"]),[{validation,true}]).
+
+copyright(suite) -> [];
+copyright(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {#xmlElement{},[]} = xmerl_scan:file(filename:join([?config(data_dir,Config), misc,"cprght.xml"]),[{validation,true}]).
+
+testXSEIF(suite) -> [];
+testXSEIF(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {#xmlElement{},[]} = xmerl_scan:file(filename:join([?config(data_dir,Config), misc,"ReplBoard_1_1543-CNA11313Uen.xml"]),[{validation,true}]).
+
+export_simple1(suite) -> [];
+export_simple1(Config) ->
+ ?line ok = xmerl_test_lib:export_simple1(Config).
+
+export(suite) -> [];
+export(Config) ->
+ ?line ok = xmerl_test_lib:export(Config).
+
+%%----------------------------------------------------------------------
+
+sax_parse_and_export(suite) -> [];
+sax_parse_and_export(Config) ->
+ ?line ok = xmerl_test_lib:sax_parse_export_xml_big(Config),
+ ?line ok = xmerl_test_lib:sax_parse_export_xml_small(Config).
+
+%%----------------------------------------------------------------------
+
+ticket_5998(suite) -> [];
+ticket_5998(Config) ->
+ ?line ok = xmerl_test_lib:ticket_5998(Config).
+
+ticket_7211(suite) -> [];
+ticket_7211(Config) ->
+ ?line ok = xmerl_test_lib:ticket_7211(Config).
+
+ticket_7214(suite) -> [];
+ticket_7214(Config) ->
+ ?line ok = xmerl_test_lib:ticket_7214(Config).
+
+
+ticket_7430(suite) -> [];
+ticket_7430(Config) ->
+ ?line ok = xmerl_test_lib:ticket_7430(Config).
+
+ticket_6873(suite) -> [];
+ticket_6873(Config) ->
+ ?line file:set_cwd(filename:join(?config(data_dir,Config),xpath)),
+ ?line ok = xpath_abbrev:ticket_6873(),
+ ?line ok = xpath_lib:ticket_6873().
+
+ticket_7496(suite) -> [];
+ticket_7496(Config) ->
+ ?line file:set_cwd(filename:join(?config(data_dir,Config),xpath)),
+ ?line ok = xpath_abbrev:ticket_7496().
+
+ticket_8156(suite) -> [];
+ticket_8156(Config) ->
+ ?line {ftp,{[],[]},"testmachine1",21,"/w.erl"} = xmerl_uri:parse("ftp://testmachine1/w.erl"),
+ ?line {ftp,{"user",[]},"testmachine1",21,"/w.erl"} = xmerl_uri:parse("ftp://user@testmachine1/w.erl"),
+ ?line {ftp,{"user","hello"},"testmachine1",21,"/w.erl"} =
+ xmerl_uri:parse("ftp://user:hello@testmachine1/w.erl"),
+ ?line {ftp,{[],[]},"testmachine1",3000,"/w.erl"} = xmerl_uri:parse("ftp://testmachine1:3000/w.erl"),
+ ?line {ftp,{"user","hello"},"testmachine1",3000,"/w.erl"} =
+ xmerl_uri:parse("ftp://user:hello@testmachine1:3000/w.erl"),
+ ok.
+
+ticket_8697(suite) -> [];
+ticket_8697(doc) ->
+ ["Test that xmerl_scan can decode unicode entities properly"];
+ticket_8697(Config) ->
+ ?line {UTF8Output, []} = xmerl_scan:string("<?xml version=\"1\" ?>\n<text>" ++ [229, 145, 156] ++ "</text>"),
+ ?line #xmlElement{content = [#xmlText{value = UTF8Text}]} = UTF8Output,
+ ?line [16#545C] = UTF8Text,
+ ?line {DecEntityOutput, []} = xmerl_scan:string("<?xml version=\"1\" ?>\n<text>&#21596;</text>"),
+ ?line #xmlElement{content = [#xmlText{value = DecEntityText}]} = DecEntityOutput,
+ ?line [21596] = DecEntityText,
+ ?line {HexEntityOutput, []} = xmerl_scan:string("<?xml version=\"1\" ?>\n<text>&#x545C;</text>"),
+ ?line #xmlElement{content = [#xmlText{value = HexEntityText}]} = HexEntityOutput,
+ ?line [16#545C] = HexEntityText,
+ ok.
+
+%%----------------------------------------------------------------------
+
+% app_test(Config) ->
+% ?line xmerl_app_test:app().
+
+
+
+
+
+%%======================================================================
+%% Support Functions
+%%======================================================================
+
+%% Dir is a directory
+rm_f_(Dir) ->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ rm_files(FileList),
+ ?line file:set_cwd(CWD),
+ ? line ok = file:del_dir(Dir).
+
+rm_files([])->
+ ok;
+rm_files([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ rm_f_(F);
+ _ ->
+ ?line ok = file:delete(F)
+ end,
+ rm_files(Fs).
+
+change_mode(Files) ->
+ change_mode3(Files).
+change_mode2(Dir)->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ change_mode3(FileList),
+ ?line file:set_cwd(CWD).
+change_mode3([]) ->
+ ok;
+change_mode3([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ chmod(F),
+ change_mode2(F);
+ _ ->
+ chmod(F)
+ end,
+ change_mode3(Fs).
+
+chmod(F) ->
+ case file:read_file_info(F) of
+ {ok,FileInfo} ->
+ Mode= FileInfo#file_info.mode,
+ file:write_file_info(F,FileInfo#file_info{mode=8#00777 bor Mode});
+ _ ->
+ ok
+ end.
+
diff --git a/lib/xmerl/test/xmerl_SUITE_data/cpd.tar.gz b/lib/xmerl/test/xmerl_SUITE_data/cpd.tar.gz
new file mode 100644
index 0000000000..c750e14adf
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/cpd.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_SUITE_data/eventp/CMOM.xml b/lib/xmerl/test/xmerl_SUITE_data/eventp/CMOM.xml
new file mode 100644
index 0000000000..7c64046897
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/eventp/CMOM.xml
@@ -0,0 +1,30261 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+
+<!--
+ Document no: 1551-1/CSX10109
+ Revision: MOM-CELLO_4.3.1
+ Date: 12-17-2003
+ Author: Ake Pappila/Hsiang-Ling Teng
+
+ Generated from UML by uml2xml version 3.0 (based on DTD file rev. F)
+ Rational Rose model used: /vobs/cello/cma/cma_uml/CelloMOM.mdl
+-->
+
+<!DOCTYPE models SYSTEM "mp.dtd">
+
+<models>
+<mim name="MOM-CELLO_4.3.1-1" version="5" release="1">
+ <exception name="AttributeMissingException">
+ <description>Exception thrown when an attribute is missing during upgrade.</description>
+ </exception>
+
+ <exception name="EnableExistingProgramException">
+ <description>Exception thrown when enabling of existing programs fails.</description>
+ </exception>
+
+ <exception name="ExtraIdentifiersOfNonExistingLoadModulesException">
+ </exception>
+
+ <exception name="FileCopyException">
+ <description>Exception thrown when copying of files fails.</description>
+ </exception>
+
+ <exception name="FileNotExistException">
+ <description>Exception thrown when the specified file does not exist.</description>
+ </exception>
+
+ <exception name="FindAndReplaceException">
+ </exception>
+
+ <exception name="HeapPoolSettingsException">
+ <description>Exception thrown when the heap or pool setting is incorrect.</description>
+ </exception>
+
+ <exception name="AttributeValueOutOfRangeException">
+ <description>Exception thrown when an attribute value is out of range.</description>
+ </exception>
+
+ <exception name="CancelInstallRequestException">
+ <description>Exception thrown when a cancel install equest for some reason fails.</description>
+ </exception>
+
+ <exception name="ChecksumhandlerException">
+ <description>Exception thrown when the control of checksum for loadmodules has been rejaected due to 'null' recieved from resource layer.</description>
+ </exception>
+
+ <exception name="ClearAllPiuTypesReferencedByPlugInUnitException">
+ <description>Exception thrown when its not possible to clear all PiuTypes referenced by PlugInUnit.</description>
+ </exception>
+
+ <exception name="ConditionallyMandatoryAttributeOrElementMissingException">
+ <description>Exception thrown when an conditional mandatory attribute (or element) is missing.</description>
+ </exception>
+
+ <exception name="ConfigurationVersionMO_HandlerException">
+ <description>Exception thrown when the creation of a CV during upgrade fails.</description>
+ </exception>
+
+ <exception name="ControlFileDocumentHandlerException">
+ </exception>
+
+ <exception name="CreateLoadModuleException">
+ <description>Exception thrown when the creation of a load module fails.</description>
+ </exception>
+
+ <exception name="DisableExistingProgramsException">
+ <description>Exception thrown when disabling of existing programs for some reason fails.</description>
+ </exception>
+
+ <exception name="DocumentObjectProxyException">
+ </exception>
+
+ <exception name="ExplicitReplacementInInformationForRPUsException">
+ <description>Exception thrown when replacement of existing RPU information fails.</description>
+ </exception>
+
+ <exception name="InstallOfLoadModulesCancelledException">
+ <description>Exception thrown when the installation of Load Modules is cancelled.</description>
+ </exception>
+
+ <exception name="InstallOfLoadModulesPartlyExcecutedException">
+ <description>Exception thrown when the installment of Load Modules is only partly executed.</description>
+ </exception>
+
+ <exception name="InstallOfLoadModulesRejectedException">
+ <description>Exception thrown when the install of Load Modules is rejected.</description>
+ </exception>
+
+ <exception name="InstallRejectedFTP_ServerNotAccessibleException">
+ <description>Exception thrown when the install is rejected due to FTP server is not accessible.</description>
+ </exception>
+
+ <exception name="InstallRejectedGetLoadModuleFileException">
+ <description>Exception thrown when the install is rejected due to error when trying to retreive Load Module.</description>
+ </exception>
+
+ <exception name="InstallRejectedIP_AddressException">
+ <description>Exception thrown when install is rejected due to erronous IP address.</description>
+ </exception>
+
+ <exception name="InstallRejectedInsufficientDiskSpaceException">
+ <description>Exception thrown when the install is rejected due to insufficient disk space.</description>
+ </exception>
+
+ <exception name="InstallRejectedLoadListFileException">
+ </exception>
+
+ <exception name="InstallRequestException">
+ <description>Exception thrown when the installation of a Load Module fails.</description>
+ </exception>
+
+ <exception name="InsufficientDiskSpaceException">
+ <description>Exception thrown when the disk space is insufficient.</description>
+ </exception>
+
+ <exception name="JvmMO_HandlerException">
+ </exception>
+
+ <exception name="LoadModuleFIlePathLengthOutOfRangeException">
+ <description>Exception thrown when file path for the Load Module is out of length.</description>
+ </exception>
+
+ <exception name="LoadModuleMO_HandlerException">
+ </exception>
+
+ <exception name="ManagedElementDataMO_HandlerException">
+ </exception>
+
+ <exception name="MandatoryElementIsMissingException">
+ </exception>
+
+ <exception name="MergeControlFileException">
+ <description>Exception thrown when the merger of control files fails.</description>
+ </exception>
+
+ <exception name="PIU_MO_HandlerException">
+ </exception>
+
+ <exception name="ParseException">
+ </exception>
+
+ <exception name="ParserFactoryException">
+ </exception>
+
+ <exception name="PiuTypeDefinitionMissingInUpgradeControlFileException">
+ <description>Exception thrown when PiuType definitions is missing in the UCF file.</description>
+ </exception>
+
+ <exception name="PiuTypeMO_HandlerException">
+ </exception>
+
+ <exception name="ProductNumberAndRevisionAlreadyInUseException">
+ <description>Exception thrown when the product number and revision is already used.</description>
+ </exception>
+
+ <exception name="ProgramConfigurationException">
+ </exception>
+
+ <exception name="ReconfigureProgramsException">
+ <description>Exception thrown when reconfiguration of programs fails.</description>
+ </exception>
+
+ <exception name="ReferencedElementMissingException">
+ <description>Exception thrown when the referenced element is missing.</description>
+ </exception>
+
+ <exception name="RepertoireMO_HandlerException">
+ </exception>
+
+ <exception name="ReplaceConfigSupportMOsOpException">
+ </exception>
+
+ <exception name="ReserveLoadModulesException">
+ <description>Exception thrown when reserving a Load Module fails.</description>
+ </exception>
+
+ <exception name="SelectiveInstallFailureException">
+ <description>Exception thrown when a selective install fails.</description>
+ </exception>
+
+ <exception name="SlotMO_HandlerException">
+ </exception>
+
+ <exception name="SwAllocationMO_HandlerException">
+ </exception>
+
+ <exception name="UnexpectedAttributeException">
+ <description>Exception thrown when an unexpected attribute occurs at upgrade.</description>
+ </exception>
+
+ <exception name="UnexpectedAttributeFormatException">
+ <description>Exception thrown when an unexpected format of the attribute occurs.</description>
+ </exception>
+
+ <exception name="UnexpectedElementException">
+ <description>Exception thrown when </description>
+ </exception>
+
+ <exception name="UpgradeControlFileDataException">
+ </exception>
+
+ <exception name="UpgradeControlFileNotFoundException">
+ </exception>
+
+ <exception name="UpgradeControlFileParserException">
+ </exception>
+
+ <exception name="UpgradePackageDeleteException">
+ <description>Exception thrown when its not possible to delete the upgrade package.</description>
+ </exception>
+
+ <exception name="UpgradePackageDeleteNotAllowedException">
+ <description>Exception thrown when its not allowed to delete the upgrade package.</description>
+ </exception>
+
+ <exception name="UpgradePackageDoesNotExistException">
+ <description>Exception thrown when the specified upgrade package does not exist.</description>
+ </exception>
+
+ <exception name="UpgradePackageFileSystemErrorException">
+ <description>Exception thrown when an error in the file system occurs.</description>
+ </exception>
+
+ <exception name="UpgradeRejectedException">
+ <description>Exception thrown when the upgrade is rejected.</description>
+ </exception>
+
+ <exception name="ValidateException">
+ </exception>
+
+ <exception name="VerifyChecksumException">
+ <description>Exception thrown when the checksum is incorrect.</description>
+ </exception>
+
+ <exception name="VerifyCreationOfCVsException">
+ <description>Exception thrown when its not possible to verify the creation of CV's.</description>
+ </exception>
+
+ <exception name="VerifyOperationalPIUsException">
+ </exception>
+
+ <exception name="VerifyRejectedException">
+ </exception>
+
+ <exception name="VerifyRequestException">
+ </exception>
+
+ <exception name="VerifySupportedPIUsException">
+ </exception>
+
+ <exception name="VerifyUpgradeHandlerException">
+ </exception>
+
+ <exception name="VerifyUpgradeWindowException">
+ </exception>
+
+ <exception name="UpgradeLogFileNotAccessibleException">
+ <description>The upgrade trace log file is not accessible i.e. the log file exists but it is not possible to access it with write permission.</description>
+ </exception>
+
+ <exception name="UpgradeControlFileException">
+ <description>The file parser has found that the UpgradeControlFile is incorrect.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="UpgradeNotPossibleException">
+ <description>Before an upgrade is started it was found that the upgrade can not take place. A possible reason is that the upgrade package that is running in the node is not in the upgrade window of this upgrade package. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="UpgradeLogFileNotInUseException">
+ <description>The upgrade trace output is currently not directed to a file.
+
+This is a property that can be changed in the trace property file (Trace_01.prop).
+</description>
+ </exception>
+
+ <enum name="UpgradePackageState">
+ <enumMember name="NOT_INSTALLED">
+ <description>The upgrade package is not installed.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="INSTALL_COMPLETED">
+ <description>The upgrade package is completely installed.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="UPGRADE_EXECUTING">
+ <description>Upgrade is executing. </description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="AWAITING_CONFIRMATION">
+ <description>The execution of upgrade needs confirmation before it proceeds.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ONLY_DELETEABLE">
+ <description>In this state an Upgrade Package can only be deleted. Its actions, when invoked, will throw the exception ActionNotAllowed. </description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="INSTALL_EXECUTING">
+ <description>Installation of the upgrade package is executing.</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="INSTALL_NOT_COMPLETED">
+ <description>The upgrade package is not completely installed i.e. parts of it has been installed. </description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="UPGRADE_COMPLETED">
+ <description>The upgrade has been successfully executed.</description>
+ <value>7</value>
+ </enumMember>
+ </enum>
+
+ <enum name="UpgradeProgressInformation">
+ <enumMember name="IDLE">
+ <description>No action is in progress. </description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="DOWNLOADING_FILES">
+ <description>Downloading of files (load modules) in progress.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SAVING_CV">
+ <description>Saving a Configuration Version.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="RECONFIGURING_MOS">
+ <description>Operation ReplaceConfigSupportMOs detected in upgrade.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="INITIATING_LOADER_INFO">
+ <description>Trigger Initiate detected in upgrade sequence.</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="PRELOADING">
+ <description>Trigger Preload detected in upgrade sequence.</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="CONVERSION_OF_PERSISTENT_DATA">
+ <value>6</value>
+ </enumMember>
+ <enumMember name="APPLICATION_SPECIFIC_ACTION">
+ <description>'Unique trigger' for an application detected in upgrade sequence.</description>
+ <value>7</value>
+ </enumMember>
+ <enumMember name="SHUTDOWN_WAIT">
+ <value>8</value>
+ </enumMember>
+ <enumMember name="TAKING_NEW_SOFTWARE_INTO_SERVICE">
+ <value>9</value>
+ </enumMember>
+ <enumMember name="FINISH_AND_CLEANING_UP">
+ <description>The error handling is switched back to normal.</description>
+ <value>10</value>
+ </enumMember>
+ <enumMember name="RESTORING_SYSTEM_STATE">
+ <value>11</value>
+ </enumMember>
+ <enumMember name="EXECUTION_FAILED">
+ <description>Indicates that the execution of an install or an upgrade action has failed.</description>
+ <value>12</value>
+ </enumMember>
+ <enumMember name="CANCEL_OF_INSTALLATION_IS_EXECUTING">
+ <description>Indicates that cancellation of an ongoing installation is in progress.</description>
+ <value>13</value>
+ </enumMember>
+ <enumMember name="CANCEL_OF_INSTALLATION_FAILED">
+ <description>Indicates that the execution of a cancel install action failed.</description>
+ <value>14</value>
+ </enumMember>
+ <enumMember name="VERIFICATION_INITIATED">
+ <value>15</value>
+ </enumMember>
+ <enumMember name="VERIFYING_UPGRADE_FROM_VERSION">
+ <value>16</value>
+ </enumMember>
+ <enumMember name="VERIFYING_POSSIBLE_TO_CREATE_REQ_NO_CVS">
+ <value>17</value>
+ </enumMember>
+ <enumMember name="VERIFYING_PIUS_SUPPORTED">
+ <value>18</value>
+ </enumMember>
+ <enumMember name="VERIFYING_CHECKSUM_FOR_LM">
+ <value>19</value>
+ </enumMember>
+ <enumMember name="VERIFYING_PIUS_NOT_FAULTY">
+ <value>20</value>
+ </enumMember>
+ <enumMember name="VERIFICATION_FINISHED">
+ <value>21</value>
+ </enumMember>
+ <enumMember name="VERIFICATION_FAILED">
+ <value>22</value>
+ </enumMember>
+ </enum>
+
+ <enum name="InvokedAction">
+ <enumMember name="VERIFY_UPGRADE">
+ <description>The verifyUpgrade action has been invoked.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="UPGRADE">
+ <description>An upgrade action (one of the variants) has been invoked.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="INSTALL">
+ <description>An install action (one of the variants) has been invoked.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="CANCEL_INSTALL">
+ <description>The cancelInstall action has been invoked.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="ActionResultData">
+ <structMember name="time">
+ <description>A timestamp.
+
+Format: "Date: 2003-04-24, Time: 20:52:11.182"</description>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ <structMember name="typeOfInvokedAction">
+ <enumRef name="InvokedAction">
+ <defaultValue>VERIFY_UPGRADE</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="info">
+ <enumRef name="Information">
+ <defaultValue>EXECUTED</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="actionId">
+ <description>This id is used to link more than one result to one action</description>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="additionalInfo">
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ </struct>
+
+ <enum name="Information">
+ <enumMember name="EXECUTED">
+ <description>The invoked action has been successfully executed without warnings.One of three possible main results of executed action.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="UNSPECIFIED">
+ <description>A not specified error/ warning has been detected (see additional info for further details)
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="LM_CHECKSUM_VER_FAILED">
+ <description>A load module checksum verification has failed.
+
+Value valid for type of invoked action:
+INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="NOT_ENOUGH_AVAIL_DISK_SPACE">
+ <description> There is not enough available disk space for the required number of new CVs (configuration versions) to be created automatically during an upgrade.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="MAX_NO_CV_WILL_BE_EXCEEDED">
+ <description>The maximum number of allowed CVs (configuration versions) will be exceeded if the required number of new CVs is created automatically during an upgrade.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="UPGRADE_FROM_CURRENT_UP_NOT_ALLOWED">
+ <description>The Upgrade Window element in the Upgrade Control File does not specify the current Upgrade Package as a valid 'upgrade from' version.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="NON_SUPPORTED_PIU">
+ <description>A non supported Plug In Unit (PIU) has been detected i.e. the PIU in the node is not defined in the Upgrade Control File.
+This is not a fault that prevents an upgrade from being executed.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="FAULTY_PIU">
+ <description>A faulty Plug In Unit (PIU) has been detected.
+This is not a fault that prevents an upgrade from being executed.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>7</value>
+ </enumMember>
+ <enumMember name="CREATION_OF_CV_FAILED">
+ <description>The auto-creation of an install CV failed.
+
+Value valid for type of invoked action:
+INSTALL
+</description>
+ <value>8</value>
+ </enumMember>
+ <enumMember name="ACTION_NOT_ALLOWED">
+ <description>The requested action is not allowed due to an other action already is in progress for an other UP MO.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>9</value>
+ </enumMember>
+ <enumMember name="INSTALLATION_MANUALLY_CANCELLED">
+ <description>An ongoing installation has been cancelled (aborted) due to request from client.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>10</value>
+ </enumMember>
+ <enumMember name="FTP_SERVER_NOT_ACCESSIBLE">
+ <description>The FTP server to be used for downloads of load modules is not accessible.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>11</value>
+ </enumMember>
+ <enumMember name="INSUFFICIENT_DISK_SPACE_FOR_LOAD_MODULES">
+ <description>The required disk space for load modules to be installed is insufficient.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>12</value>
+ </enumMember>
+ <enumMember name="FTP_SERVER_IP_ADDRESS_ERROR">
+ <description>Error detected in the IP address of the FTP server.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>13</value>
+ </enumMember>
+ <enumMember name="DELTA_INSTALL_MERGE_ERROR">
+ <description>The install of the delta Upgrade Control File (UCF) failed i.e. the analysis of the delta UCF together with current active UP's UCF failed.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>14</value>
+ </enumMember>
+ <enumMember name="SELECTIVE_INSTALL_ERROR">
+ <description>Error detected during the selection phase of an install.
+
+Value valid for type of invoked action:
+INSTALL
+
+</description>
+ <value>15</value>
+ </enumMember>
+ <enumMember name="EXECUTION_FAILED">
+ <description>The execution of invoked action failed.One of three possible main results of executed action.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>16</value>
+ </enumMember>
+ <enumMember name="EXECUTED_WITH_WARNINGS">
+ <description>The action has been successfully executed but with warnings.One of three possible main results of executed action.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE</description>
+ <value>17</value>
+ </enumMember>
+ </enum>
+
+ <exception name="FtpServerNotAccessibleException">
+ <description>Ftp server is not accessible. This may depend on erroneous IP address, username, password, or that the IP communication with the Ftp server is down, or that the Ftp server itself is malfunctioning. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="GetFileException">
+ <description>An error was encountered during FTP get file. A filePath in the upgradeControlFile may be inconsistent with a filepath on the Ftp server. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <struct name="PiuTypeProgramConfiguration">
+ <structMember name="tag">
+ <description>The tag is used as a reference between the PiuType MO and the Repertoire MO to find out how to create the program object under a PlugInUnit MO.</description>
+ <string/>
+ </structMember>
+ <structMember name="subParentLDN">
+ <description>Local distinguished name of the program parent from the PlugInUnit.
+
+Example:
+1. "Spu=1,Spm=2" (the program is to be created under: ...PlugInUnit=2,Spu=1,Spm=2")
+2. "" (empty string - the program is to be created directly under the PlugInUnit)</description>
+ <string/>
+ </structMember>
+ <structMember name="programMoTypeName">
+ <description>The name of the Program MO that will be created.</description>
+ <string/>
+ </structMember>
+ <structMember name="loadableProcessorName">
+ <description>The loadableProcessorName is a user friendly name of the processor.</description>
+ <string/>
+ </structMember>
+ </struct>
+
+ <struct name="RepertoireLoadModuleData">
+ <description>This structure contains load module data that belongs to a piu type.
+</description>
+ <structMember name="loadModuleDN">
+ <description>The distinguished name of the load module, which is needed and sufficient to convert the load module to a real object reference.</description>
+ <string/>
+ </structMember>
+ <structMember name="tag">
+ <description>The tag is used as a reference between the PiuType MO and the Repertoire MO to find out how to create the program object under a PlugInUnit MO.</description>
+ <string/>
+ </structMember>
+ </struct>
+
+ <struct name="ConfigurationVersionAttributes">
+ <structMember name="name">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="identity">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="type">
+ <string/>
+ </structMember>
+ <structMember name="upgradePackageId">
+ <string/>
+ </structMember>
+ <structMember name="operatorName">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="operatorComment">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="date">
+ <string/>
+ </structMember>
+ <structMember name="status">
+ <string/>
+ </structMember>
+ </struct>
+
+ <enum name="ConfigurationVersionType">
+ <enumMember name="standard">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="upgrade">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="minimal">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="test">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="autocreate">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="other">
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <enum name="LoadModuleLoaderType">
+ <enumMember name="OseLoader">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SpmLoader">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="Jvm">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="WebServer">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="Other">
+ <value>99</value>
+ </enumMember>
+ <enumMember name="SpmFpgaLoader">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="XpLoader">
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <enum name="LoadModulePreLoadType">
+ <enumMember name="no">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="must">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="wish">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUSwitchOverMode">
+ <description>This attribute can have the following values:
+
+AtPiuFault = Indicates the MP (where the normal RPU is configured) is faulty (HW error). This is the default value.
+
+AtPiuRestart = Indicates the MP (where the normal RPU is configured) is restarted.</description>
+ <enumMember name="AtPiuFault">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="AtPiuRestart">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUNormalisationMode">
+ <description>This enumeration can have the following values:
+
+Manual = Indicates that no normalisation is performed by cello until the operator issues a "switch" action.
+
+Automatic = Indicates that Cello performs the normalisation automatically, after the failed RPU is available again. This is the default value.</description>
+ <enumMember name="Manual">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="Automatic">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUReplicationMode">
+ <description>This enumaration contains the following values:
+
+Always = Data replication is always performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).
+
+Never = Data replication is never performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).
+
+AtShutdown = Each time the RSH (which handles the RPU) is shut down, a complete snapshot is taken and replicated to the other MP, if necessary. Otherwise, the replication is performed according to the characteristics set on each container ( that is associated with the RPU ).
+
+ApplControlled = The data replication is made according to the characteristics set on each container ( that is associated with the RPU ). This is the default value.</description>
+ <enumMember name="Always">
+ <description>Always = Data replication is always performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="Never">
+ <description>Data replication is never performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="AtShutdown">
+ <description>AtShutdown = Each time the RSH (which handles the RPU) is shut down, a complete snapshot is taken and replicated to the other MP, if necessary. Otherwise, the replication is performed according to the characteristics set on each container ( that is associated with the RPU ).</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ApplControlled">
+ <description>ApplControlled = The data replication is made according to the characteristics set on each container ( that is associated with the RPU ). This is the default value.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUOperationalMode">
+ <description>This enumeration contains the following values:
+
+AsConfigured = The RSHs runs as the RPU is configured.
+SwitchOver = The RSHs has been switched over.
+Unavailable = No RSHs, that uses this RPU, are running.</description>
+ <enumMember name="AsConfigured">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SwitchedOver">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="Unavailable">
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="PiuTypeMOConfiguration">
+ <structMember name="subParentLDN">
+ <string/>
+ </structMember>
+ <structMember name="moTypeName">
+ <string/>
+ </structMember>
+ <structMember name="identity">
+ <string/>
+ </structMember>
+ </struct>
+
+ <struct name="AirFlowData">
+ <description>Control value for the fan unit. Needed by cooling function.
+</description>
+ <structMember name="normalLowTempAirFlow">
+ <description>Control value for the fan unit running in normal autonomous mode.
+This parameter is the subrack/fanunit airflow value that is required to achieve sufficient cooling at 20 degree
+centigrade or lower ambient temperature of the most demanding plug in unit (PIU) in the subrack. This airflow value
+shall be sufficient (but not unnecessarily large) to secure that no "normal overtemp" fault indication is issued.
+Default values = 250 m3/h. (value &gt;=0)
+</description>
+ <float>
+ <defaultValue>250</defaultValue>
+ </float>
+ </structMember>
+ <structMember name="normalHighTempAirFlow">
+ <description>Control value for the fan unit running in normal autonomous mode. This parameter is the subrack/fanunit airflow
+value that is required to achieve sufficient cooling at 50 degree centigrade ambient temperature of the most
+demanding plug in unit (PIU) in the subrack. This airflow value shall be sufficient (but not unnecessarily large) to
+secure that no "normal overtemp" fault indication is issued.
+Default values = 400 m3/h. (value &gt;=0)</description>
+ <float>
+ <defaultValue>400</defaultValue>
+ </float>
+ </structMember>
+ <structMember name="noiseReducedLowTempAirFlow">
+ <description>Control value for the fan unit running in noise reduction mode. This parameter is the subrack/fanunit airflow value
+that is required to achieve sufficient cooling at 20 degree centigrade or lower ambient temperature of the most
+demanding plug in unit (PIU) in the subrack. This airflow value shall be sufficient (but not unnecessarily large) to
+secure that no "exceptional overtemp" fault indication is issued
+Default values = 250 m3/h. (value &gt;=0)</description>
+ <float>
+ <defaultValue>250</defaultValue>
+ </float>
+ </structMember>
+ <structMember name="noiseReducedHighTempAirFlow">
+ <description>Control value for the fan unit running in noise reduction mode. This parameter is the subrack/fanunit airflow value
+that is required to achieve sufficient cooling at 50 degree centigrade ambient temperature of the most demanding
+plug in unit (PIU) in the subrack. This airflow value shall be sufficient (but not unnecessarily large) to secure
+that no "exceptional overtemp" fault indication is issued.
+Default values = 400 m3/h. (value &gt;=0)</description>
+ <float>
+ <defaultValue>400</defaultValue>
+ </float>
+ </structMember>
+ </struct>
+
+ <exception name="IpAddressException">
+ <description>Erroneous Ip Address Format</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="AueFailureException">
+ <description>An application upgrade engine has reported a failure. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="ActionNotAllowedException">
+ <description>The action is not allowed, the UpgradePackage MO is in wrong state.
+
+For example if you try 'upgrade()' before an 'install()' or 'forcedInstall()' has been done.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVFileSystemErrorException">
+ <description>Exception thrown upon file system Error.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVDBErrorException">
+ <description>Data Base Error Exception (error when creating database backup).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVLLPErrorException">
+ <description>LLP Error Exception (error when creating ARMAMENT and LLP.LMID files).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVAlreadyExistsException">
+ <description>The configuration version already exists (e.g. message at create).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVDoesNotExistsException">
+ <description>The configuration version does not exist (e.g. message at setCVAsStartable).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVDeleteNotAllowedException">
+ <description>It is not allowed to delete the configuration version, because it is in use (used as startable or in rollback list).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVInvalidCVException">
+ <description>The configuration version is not valid (due to missing files).
+
+</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVFormatErrorException">
+ <description>Format Error in given configuration version name.
+
+The following characters are allowed within the name:
+[0-9], [A-Z], [a-z] and '&amp;','%',':','.','_', '-'
+
+Note! For comment and operatorName spaces (' ') are also allowed within the strings.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVErrorException">
+ <description>Error Exception.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="InstallException">
+ <description>Indicates that an error in an installation has occurred.</description>
+ <exceptionParameter name="install">
+ <description>The message of the exception.</description>
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="LogActivationException">
+ <description>Exception thrown when a database error occurs.</description>
+ </exception>
+
+ <exception name="InvalidDriveNameException">
+ <description>Exception thrown when an invalid drive name is specified or when a data base error occurs.</description>
+ </exception>
+
+ <exception name="VerifyUpgradeException">
+ </exception>
+
+ <exception name="CVActivityLogFilePathErrorException">
+ <description>Exception thrown when the log file path is wrong.</description>
+ </exception>
+
+ <exception name="CV_MaxNumberOfInstancesException">
+ <description>Exception thrown when max number of CV's in the system has been reached.</description>
+ </exception>
+
+ <exception name="Aal2PathIdNotUniqueException">
+ <exceptionParameter name="message">
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="PathAlreadyRegisteredException">
+ <exceptionParameter name="message">
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="NotInGroupException">
+ </exception>
+
+ <exception name="InvalidReferenceException">
+ </exception>
+
+ <exception name="InvalidPriorityException">
+ <description>Indicates an invalid priority level, i.e. the priority in question is out of the defined range.</description>
+ <exceptionParameter name="invalidPriority">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <struct name="QoSProfileClassA">
+ <description>This struct contains the values applicable for QoS Class A.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>10000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="QoSProfileClassB">
+ <description>This struct contains the values applicable for QoS Class B.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>20000</max>
+ </range>
+ <defaultValue>15000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="QoSProfileClassC">
+ <description>This struct contains the values applicable for QoS Class C.
+
+NOTE: The attribute 'boundONodeDelay' is not applicable for QoS Class C so setting of this attribute will have no effect.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <defaultValue>25000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="QoSProfileClassD">
+ <description>This struct contains the values applicable for QoS Class D.
+
+NOTE: The attribute 'boundONodeDelay' is not applicable for QoS Class D so setting of this attribute will have no effect.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>1</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <defaultValue>50000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="SupportedQoSClasses">
+ <enumMember name="NO_CLASS_SUPPORTED">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="CLASS_A">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="CLASS_B">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="CLASS_C">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="CLASS_A_C">
+ <value>5</value>
+ </enumMember>
+ <enumMember name="CLASS_B_C">
+ <value>6</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B_C">
+ <value>7</value>
+ </enumMember>
+ <enumMember name="CLASS_D">
+ <value>8</value>
+ </enumMember>
+ <enumMember name="CLASS_A_D">
+ <value>9</value>
+ </enumMember>
+ <enumMember name="CLASS_B_D">
+ <value>10</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B_D">
+ <value>11</value>
+ </enumMember>
+ <enumMember name="CLASS_C_D">
+ <value>12</value>
+ </enumMember>
+ <enumMember name="CLASS_A_C_D">
+ <value>13</value>
+ </enumMember>
+ <enumMember name="CLASS_B_C_D">
+ <value>14</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B_C_D">
+ <value>15</value>
+ </enumMember>
+ </enum>
+
+ <exception name="IsReservedCanNotSetPathIdException">
+ <description>Exception thrown when an attempt is made to set or change the aal2PathId of an Aal2PathVccTp while its reserved by an other Aal2PathGrp</description>
+ </exception>
+
+ <exception name="IsUnlockedCanNotSetPathOwnerException">
+ <description>Exception thrown when an attempt is made to set or change the aal2PathOwner of an Aal2PathVccTp while its not LOCKED.</description>
+ </exception>
+
+ <enum name="SlotState">
+ <description>
+</description>
+ <enumMember name="free">
+ <description>It is free to be pre-configured with a new PlugInUnit. </description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="used">
+ <description>A PlugInUnit is inserted in the slot or the slot is pre-configured with a PlugInUnit.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="coveredByPiu">
+ <description>Currently not supported.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <struct name="AdminProductData">
+ <structMember name="productNumber">
+ <description>The product number of the product or document.
+
+Examples: ABC 101 001, CXC 123 456, 1/BFD 101 999
+
+In the case of UpgradePackage this is the document number of the upgrade package.</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>24</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productRevision">
+ <description>The revision of the product number.
+
+Examples: R1, r1a, R1A02, P7B</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>7</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productName">
+ <description>A user-friendly name of the product.</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>12</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ <structMember name="productInfo">
+ <description>User-friendly information about the product.</description>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ <structMember name="productionDate">
+ <description>The production date can be represented in two ways.
+
+1) The preferable syntax is a four-digit representation of the year, and a two-digit representation of the month and a two-digit representation of the day. The numbers of characters in this case, is eight.
+Example:
+19991231
+
+2) The date can also consist of two digits for the year, followed by the letter W for week and two digits for the number of week. The numbers of characters in this case, is five.
+Example:
+99W12
+</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>8</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ </struct>
+
+ <struct name="OperProductData">
+ <structMember name="productName">
+ <description>A user-friendly name of the product.
+
+
+
+</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>12</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productNumber">
+ <description>Unique identity for each product, which is constituted by the Ericsson product number (ABC number).
+
+The maximum number of characters for a product number is 24 including 2 slashes, 2 spaces and 2 characters for origination notation.
+
+Example of product number:
+ABC 101 001
+(1/ABC 101 01/1234)
+123/ABCDE 101 1010/12345
+
+.</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>24</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productRevision">
+ <description>Indicates the revision state of the product. It consists of a letter R or P, one or two digits and/or one or two letters. The maximum number of characters is four inclusive R or P.
+Example: R1A, R1A02, P7B
+
+A suffix may be added to the revision state. The suffix may consists of one or two digits or one letter.
+
+
+</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>7</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="serialNumber">
+ <description>The serial number consists of 13 alphanumerical characters grouped as 6 plus 7 characters. The first 6 characters are to be used for factory codes and the last 7 characters are used for an individual number or batch number.
+
+</description>
+ <string/>
+ </structMember>
+ <structMember name="productionDate">
+ <description>The production date can be represented in two ways.
+
+1) The preferable syntax is a four-digit representation of the year, and a two-digit representation of the month and a two-digit representation of the day. The numbers of characters in this case, is eight.
+Example:
+19991231
+
+2) The date can also consist of two digits for the year, followed by the letter W for week and two digits for the number of week. The numbers of characters in this case, is five.
+Example:
+99W12
+
+</description>
+ <string>
+ <lengthRange>
+ <min>5</min> <max>8</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ </struct>
+
+ <enum name="LoadClass">
+ <description>Defines the phase in the load and start sequence.
+</description>
+ <enumMember name="coreOs">
+ <value>10</value>
+ </enumMember>
+ <enumMember name="coreDevice">
+ <value>50</value>
+ </enumMember>
+ <enumMember name="loadListProvider">
+ <value>100</value>
+ </enumMember>
+ <enumMember name="coreEarly">
+ <value>200</value>
+ </enumMember>
+ <enumMember name="coreLate">
+ <value>300</value>
+ </enumMember>
+ <enumMember name="networkAndConnectionHandling">
+ <value>400</value>
+ </enumMember>
+ <enumMember name="application">
+ <value>500</value>
+ </enumMember>
+ <enumMember name="upgrade">
+ <value>600</value>
+ </enumMember>
+ </enum>
+
+ <enum name="StartState">
+ <enumMember name="disabled">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="enabled">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SubrackType">
+ <enumMember name="hub">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="device">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="PiuRole">
+ <enumMember name="mp">
+ <description>The board is a Main processor.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="bp">
+ <description>The board is a device board.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="others">
+ <description>The board is not a Main processor or a device board. </description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RestartCause">
+ <description>This attribute indicates the restart cause of plugInUnit. The defined values are:
+1. piuRestartError: restart because of error.
+2. piuRestartUpgrade: restart because of system upgrade.
+</description>
+ <enumMember name="piuRestartUpgrade">
+ <description>This attributes indicates that the Piu restart cause is upgrade.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="piuRestartError">
+ <description>This attributes indicates that the Piu restart cause is error.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="FanState">
+ <description>The Fan can be in one of three controlling state:
+- standalone: not manageable, full speed fans
+- autonomous: manageable, air-flow control
+- noiseReduced: time-limited low speed fan</description>
+ <enumMember name="standalone">
+ <description>It's running on itself, not manageable.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="autonomous">
+ <description>Manageable state.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="noiseReduced">
+ <description>Temporary state with reduced cooling.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SeqRestarts">
+ <enumMember name="RESTARTS_WITH_NO_LIMITS">
+ <description>This attributes indicates unlimited restarts and no faulty marking of the PIU. Used for critical PIU's such as TUBs, SCBs and some ET-boards.
+</description>
+ <value>-1</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_WARM">
+ <description>This attributes indicates the following sequential restarts:
+-warm
+-refresh
+-cold
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_REFRESH">
+ <description>This attributes indicates the following sequential restarts:
+-refresh
+-cold
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_COLD">
+ <description>This attributes indicates the following sequential restarts:
+-cold
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_FAULT_MARKED">
+ <description>This attributes indicates the following sequential restarts:
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>0</value>
+ </enumMember>
+ </enum>
+
+ <exception name="RepertoireNotFoundException">
+ <description>This class is an exception class thrown when a equipment specific error occurs.
+</description>
+ </exception>
+
+ <exception name="SwAllocationNotFoundException">
+ <description>This class is an exception class thrown when a equipment specific error occurs.
+</description>
+ </exception>
+
+ <exception name="WebServerPathConfigurationException">
+ <description>This class is an exception class thrown when failed to configure the webserver root path
+</description>
+ </exception>
+
+ <exception name="FroLocationFailedException">
+ </exception>
+
+ <exception name="FroShutdownException">
+ </exception>
+
+ <exception name="NotUniqueLineNoException">
+ </exception>
+
+ <exception name="WrongPhysPathTermTypeException">
+ </exception>
+
+ <enum name="OperState">
+ <enumMember name="disabled">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="enabled">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AdmState">
+ <enumMember name="locked">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="unlocked">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="shuttingDown">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <exception name="OccupiedException">
+ </exception>
+
+ <exception name="NotReservedException">
+ </exception>
+
+ <exception name="NotSupportedException">
+ </exception>
+
+ <enum name="SilPortState">
+ <description>Indication of Switch Internal Link port status.</description>
+ <enumMember name="portActive">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="portPassive">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="portNotConnected">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="portLocked">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="portNotInstalled">
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <exception name="InvalidIndexException">
+ <description>Index specified is invalid, i.e. it is out of the range of valid indices.</description>
+ <exceptionParameter name="invalidIndex">
+ <description>The exception message.</description>
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="TransactionException">
+ </exception>
+
+ <exception name="UndeletableMoException">
+ <description>Exception thrown when MO is not possible to delete.</description>
+ </exception>
+
+ <exception name="FroNotAccessibleException">
+ <description>Exception that is thrown when a FRO (Facade Resource Object in the resource layer) cannot be accessed.</description>
+ </exception>
+
+ <exception name="FroRequestFailedException">
+ <description>Exception that is thrown when the result of a request to a FRO (Facade Resource Object in the resource layer) is not ok.</description>
+ </exception>
+
+ <exception name="MoReservedByOtherUserException">
+ <description>Exception thrown when the MO is already reserved by another user (MO).</description>
+ </exception>
+
+ <exception name="MoHasChildrenException">
+ <description>Exception class thrown when an MO is requested to be deleted, but the MO has children, which must be deleted first.</description>
+ </exception>
+
+ <exception name="MoReservedNotDeletableException">
+ <description>Exception thrown when trying to delete an MO that is reserved by another MO (user).</description>
+ </exception>
+
+ <exception name="MaxNumberExceededException">
+ <description>Exception thrown when the maximum number of childrens for an MO is exceeded.</description>
+ </exception>
+
+ <exception name="AttrValueNotUniqueException">
+ <description>Exception thrown when a value of an attribute is not unique.</description>
+ </exception>
+
+ <exception name="EquipException">
+ </exception>
+
+ <exception name="IpFormatException">
+ </exception>
+
+ <exception name="IpException">
+ </exception>
+
+ <exception name="TransactionRequiredException">
+ <description>Exception class thrown when an MO is accessed outside a transaction but the access method requires a transaction.</description>
+ </exception>
+
+ <exception name="ValueOutOfRangeException">
+ <description>Exception thrown when an MO attribute is requested to be set, but the requested value is not accepted.</description>
+ </exception>
+
+ <exception name="WrongAttributeTypeException">
+ <description>Exception thrown when an MO attribute is requested to be set, but the requested value is of the wrong type. </description>
+ </exception>
+
+ <exception name="NotHandledAttributeTypeException">
+ <description>Exception class thrown when an attribute of not handled type is requested. </description>
+ </exception>
+
+ <exception name="NoSuchFieldException">
+ <description>Exception class thrown when a Struct is accessed but the reqested Struct element is not found. </description>
+ </exception>
+
+ <exception name="MoCanNotBeCreatedException">
+ </exception>
+
+ <exception name="NoSuchAttributeException">
+ <description>Exception thrown when an MO attribute is requested to be accessed but the access
+method for the is not defined (the attribute can not be accessed)</description>
+ </exception>
+
+ <exception name="MoCardinalityViolationException">
+ <description>Exception class thrown when the creation of an MO fails due to child - parent
+cardinality violation. The cardinality is specified in the MIM. </description>
+ </exception>
+
+ <exception name="IllegalParentException">
+ <description>Exception thrown when the parent of the MO is of the wrong type.</description>
+ </exception>
+
+ <exception name="AttrNotSettableAtCreateException">
+ <description>Exception thrown when a create is ordered with
+attribute values that are not settable at create.</description>
+ </exception>
+
+ <exception name="AttrMissingAtCreateException">
+ <description>Exception thrown when an attribute that is mandatory at create is missing.</description>
+ </exception>
+
+ <exception name="MoNameAlreadyTakenException">
+ <description>Exception thrown when an MO is created and the distinguished name of the MO is already in use.</description>
+ </exception>
+
+ <exception name="IllegalArgumentTypeException">
+ <description>Exception thrown if the argument supplied is of the wrong type, for example in an action.</description>
+ </exception>
+
+ <exception name="IllegalAttributeValueException">
+ <description>Exception thrown if the value of the attribute is not valid.</description>
+ </exception>
+
+ <enum name="RestartRank">
+ <enumMember name="RESTART_WARM">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="RESTART_REFRESH">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="RESTART_COLD">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AvailabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <enumMember name="IN_TEST">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="FAILED">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="POWER_OFF">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="OFF_LINE">
+ <value>8</value>
+ </enumMember>
+ <enumMember name="OFF_DUTY">
+ <value>16</value>
+ </enumMember>
+ <enumMember name="DEGRADED">
+ <value>64</value>
+ </enumMember>
+ <enumMember name="NOT_INSTALLED">
+ <value>128</value>
+ </enumMember>
+ <enumMember name="LOG_FULL">
+ <value>256</value>
+ </enumMember>
+ <enumMember name="DEPENDENCY_LOCKED">
+ <value>544</value>
+ </enumMember>
+ <enumMember name="DEPENDENCY_FAILED">
+ <value>1056</value>
+ </enumMember>
+ <enumMember name="DEPENDENCY_SHUTTINGDOWN">
+ <value>2080</value>
+ </enumMember>
+ <enumMember name="NO_STATUS">
+ <value>0</value>
+ </enumMember>
+ </enum>
+
+ <exception name="InvalidMoReferenceException">
+ <description>Exception thrown when the submitted MO reference is invalid.</description>
+ </exception>
+
+ <exception name="FroNotLockedException">
+ <description>Exception thrown when a fRo cannot be accessed.</description>
+ </exception>
+
+ <exception name="GeneralErrorException">
+ <description>Exception thrown by an MO when there is a general error.</description>
+ </exception>
+
+ <exception name="IdentityNotAllowedException">
+ <description>Exception thrown when an identity (LDN) specified at create is not allowed.</description>
+ </exception>
+
+ <exception name="IllegalMoTypeException">
+ <description>Exception thrown when a reference to an MO is of a unexpected/wrong MO type.</description>
+ </exception>
+
+ <exception name="ImpossibleCollectAtmInfoException">
+ <description>Exception thrown when gathering of ATM info fails.</description>
+ </exception>
+
+ <exception name="MoCanNotBeDeletedException">
+ <description>Exception thrown when its not possible to delete an MO.</description>
+ </exception>
+
+ <exception name="MoNotPossibleToReserveException">
+ <description>Exception thrown when by an MO if its not possible to reserve the MO.</description>
+ </exception>
+
+ <exception name="MoNotReservedByUserException">
+ <description>Exception thrown when a user tries to release an MO that is not reserved by this user.</description>
+ </exception>
+
+ <exception name="NoDiskException">
+ <description>Exception thrown when there is no hard disk.</description>
+ </exception>
+
+ <exception name="RemovalFailedException">
+ <description>Exception thrown when an element cannot be removed from a sequence.</description>
+ </exception>
+
+ <exception name="RestartRejectedException">
+ <description>Exception thrown when restart of the PlugInUnit is not allowed.</description>
+ </exception>
+
+ <enum name="SyncMode">
+ <description>The Sync Mode Operation of the node.</description>
+ <enumMember name="NOT_USED">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="CDMA">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="WCDMA">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp2ProfileDataAnsi">
+ <structMember name="suermT">
+ <description>SUERM parameter T.
+Measured in SU units.</description>
+ <long>
+ <range>
+ <min>1</min> <max>511</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermD">
+ <description>SUREM parameter D.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>256</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermN">
+ <description>SUERM parameter N.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>16</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tin">
+ <description>Normal AERM threshold, Tin. Number of signal errors that cause abortion of a normal proving peroid.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tie">
+ <description>Emergency AERM threshold, Tie. Number of signal units errors that cause abortion of an emergency proving period.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="provings">
+ <description>Proving abort limit. Number of consecutive aborted proving periods that will cause a return to the Out Of Service state.
+
+Measurement units are the number of proving periods.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Maximum time to stay in Aligned Ready state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>12900</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Maximum time to stay in Not Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>32700</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Maximum time to stay in Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>11500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4n">
+ <description>Length of the normal proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>4000</max>
+ </range>
+ <defaultValue>2300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4e">
+ <description>Length of the emergengcy proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>4000</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Time between sending Status Indication "B" while in the Congested state.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Maximum time a remote site may remain in the congestated state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>8100</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT7">
+ <description>Maximum time to wait for an expected acknowledgement of an MSU before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="bitRate">
+ <description>The speed of the link. Only supported values are 64 and 56 kbit/s.
+0 = 64 kbit/s.
+1 = 56 kbit/s.
+
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="errorCorrection">
+ <description>The error correction algorithm to use. Only Basic Error Correction (BEC) is supported.
+0 = BEC
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet1">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 1 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet2">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 2 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet3">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 3 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement1">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 1 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement2">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 2 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement3">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 3 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel1">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 1 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel2">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 2 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel3">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 3 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n1Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n2Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp2ProfileDataItu">
+ <structMember name="suermT">
+ <description>SUERM parameter T.
+Measured in SU units.</description>
+ <long>
+ <range>
+ <min>1</min> <max>64</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermD">
+ <description>SUREM parameter D.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>256</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermN">
+ <description>SUERM parameter N.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>16</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tin">
+ <description>Normal AERM threshold, Tin. Number of signal errors that cause abortion of a normal proving peroid.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tie">
+ <description>Emergency AERM threshold, Tie. Number of signal units errors that cause abortion of an emergency proving period.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="provings">
+ <description>Proving abort limit. Number of consecutive aborted proving periods that will cause a return to the Out Of Service state.
+
+Measurement units are the number of proving periods.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Maximum time to stay in Aligned Ready state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>65500</max>
+ </range>
+ <defaultValue>40000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Maximum time to stay in Not Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>262000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Maximum time to stay in Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4n">
+ <description>Length of the normal proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>7500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4e">
+ <description>Length of the emergengcy proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Time between sending Status Indication "B" while in the Congested state.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Maximum time a remote site may remain in the congestated state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>8100</max>
+ </range>
+ <defaultValue>3000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT7">
+ <description>Maximum time to wait for an expected acknowledgement of an MSU before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="bitRate">
+ <description>The speed of the link. Only supported values are 64 and 56 kbit/s.
+0 = 64 kbit/s.
+1 = 56 kbit/s.
+
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="errorCorrection">
+ <description>The error correction algorithm to use. Only Basic Error Correction (BEC) is supported.
+0 = BEC
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet1">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 1 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet2">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 2 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet3">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 3 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement1">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 1 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement2">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 2 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement3">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 3 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel1">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 1 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel2">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 2 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel3">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 3 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n1Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n2Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp2ProfileDataChina">
+ <structMember name="bitRate">
+ <description>The speed of the link. Only supported values are 64 and 56 kbit/s.
+0 = 64 kbit/s.
+1 = 56 kbit/s.
+
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="errorCorrection">
+ <description>The error correction algorithm to use. Only Basic Error Correction (BEC) is supported.
+0 = BEC</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="provings">
+ <description>Proving abort limit. Number of consecutive aborted proving periods that will cause a return to the Out Of Service state.
+
+Measurement units are the number of proving periods.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermD">
+ <description>SUERM parameter D.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>256</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermN">
+ <description>SUERM parameter N.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>16</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermT">
+ <description>SUERM parameter T.
+Measured in SU units.</description>
+ <long>
+ <range>
+ <min>1</min> <max>64</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tie">
+ <description>Emergency AERM threshold, Tie. Number of signal units errors that cause abortion of an emergency proving period.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Maximum time to stay in Aligned Ready state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>65500</max>
+ </range>
+ <defaultValue>45000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Maximum time to stay in Not Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>262000</max>
+ </range>
+ <defaultValue>132000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Maximum time to stay in Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4e">
+ <description>Length of the emergengcy proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4n">
+ <description>Length of the normal proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>8200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Time between sending Status Indication "B" while in the Congested state.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Maximum time a remote site may remain in the congestated state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>8100</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT7">
+ <description>Maximum time to wait for an expected acknowledgement of an MSU before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tin">
+ <description>Normal AERM threshold, Tin. Number of signal errors that cause abortion of a normal proving peroid.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet1">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 1 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet2">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 2 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet3">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 3 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement1">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 1 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement2">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 2 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement3">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 3 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel1">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 1 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel2">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 2 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel3">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 3 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n1Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n2Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="EmergencyStatus">
+ <description>This enumeration specifies the different stages of the emergency state.</description>
+ <enumMember name="NEVER_USED">
+ <description>Emergency state has not been activated since installation of license key file.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ACTIVE">
+ <description>All capacity restrictions removed and all features enabled. The maximum time in this state is 7 days.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="USE_DEGRADED">
+ <description>A new license key file has to be istalled in order not to jopardize future use and performance.
+The maximum time in this state is 7 days.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ACTIVE_AGAIN">
+ <description>All features enabled again for a maximum period of 7 days then a new license key file has to be installed in order to be able to use this node.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="USE_DISABLED">
+ <description>Use of the node is no longer allowed since no new license key file has been installed.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="EmergencyInfo">
+ <description>This attribute provides information about the current EmergencyState.
+
+Note: for the states NEVER_USED and USE_DISABLED the return value for time is insignificant.</description>
+ <structMember name="state">
+ <description>The current emergency state of the license server.</description>
+ <enumRef name="EmergencyStatus">
+ <defaultValue>NEVER_USED</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="time">
+ <description>This attribute holds information on the time elapsed in this state.
+
+Note: The time out values for the states ALL_OPEN, DEGADED and PROLONGED is 7 days. The unit for this attribute is seconds.
+1 day = 86400 seconds
+7 days = 604800 seconds.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="NodeRestartType">
+ <enumMember name="WARM_AT_PIU_RESTART">
+ <description>Warm node restart at restart of the last PIU.</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="COLD_AT_PIU_RESTART">
+ <description>Cold node restart at restart of the last PIU.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="WARM_AT_PIU_FAULT">
+ <description>Warm node restart at fault mark of the last PIU.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="COLD_AT_PIU_FAULT">
+ <description>Cold node restart at fault mark of the last PIU.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <struct name="UniSaalProfileData">
+ <structMember name="maxPD">
+ <description>Maximum number of SD PDUs before a poll is sent.
+
+maxPD &lt; initialCredit</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>25</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxStat">
+ <description>Maximum number, odd integer value, of list elements placed in a STAT PDU.
+
+Note: The maxStat attribute is dependent on the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The maxStat times 4 (octets) plus additional 12 octets (for header) must not exceed the AAL5 maximum SDU size (lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+The consistency control is not performed until the UniSaalTp MO is created since there is no relation between the UniSaalProfile MO and the Aal5TpVccTp MO.</description>
+ <long>
+ <range>
+ <min>3</min> <max>1001</max>
+ </range>
+ <defaultValue>67</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="initialCredit">
+ <description>Initial number of credits.
+
+Note: For 64 kbit/s use 30.
+
+Note! The value for initialCredit must be larger than the value of maxPD.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>1000</max>
+ </range>
+ <defaultValue>250</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerKeepAlive">
+ <description>Timer to be used during a period of no outstanding acknowledgements or new data pending receipt of credit. At timeout the peer is polled to see if it is alive. The timer determines the interval between polls in transient phase. This timer should be greater than the roundtripdelay and also greater than timerpoll. Time unit = milliseconds.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerNoResponse">
+ <description>Timer to determine the maximum time interval during which at least one STAT PDU reception is expected as a response to a poll. This timer should be equal to timerKeepAlive+roundtripdelay. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>7000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerIdle">
+ <description>Timer running when there are no PDUs to transmit and there are no outstanding acknowledgements or data pending for credit. When this timer is running, no POLL PDUs are sent. This timer should be significantly greater than timerKeepAlive value. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>1000</min> <max>600000</max>
+ </range>
+ <defaultValue>15000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerCC">
+ <description>Timer determining the time between re-transmission of PDUs: BGN, END, ER, RS. Should be slightly more than the roundtripdelay. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerPoll">
+ <description>Timer that specifies the maximum time between sending of POLL PDUs to the peer receiver during other traffic (active phase). Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>750</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxCC">
+ <description>Maximum number of re-transmissions of PDUs: BGN, END, ER, RS. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion. Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationAbatement &lt; congestationOnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement">
+ <description>Attribute specifying the percentage of the buffer for which congestion is stopped. If the congestionAbatement level is lesser but almost equal to congestionOnSet, congestion alarms might be raised and ceased very often.
+
+This attribute should follow the rule 0 &lt;= congestationAbatement &lt; congestationOnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="NniSaalProfileData">
+ <description>Struct holding the values for an NniSaalProfile.
+
+NOTE: Congestition Level 1 - 3 is supported.</description>
+ <structMember name="timerPoll">
+ <description>Timer that specifies the maximum time between sending of POLL PDUs to the peer receiver during other traffic (active phase). Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxCC">
+ <description>Maximum number of re-transmissions of PDUs: BGN, END, ER, RS.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxPD">
+ <description>Maximum number of SD PDUs before a poll is sent.
+
+maxPD &lt; initialCredit</description>
+ <long>
+ <range>
+ <min>0</min> <max>10000</max>
+ </range>
+ <defaultValue>25</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxStat">
+ <description>Maximum number, odd integer value, of list elements placed in a STAT PDU.
+
+Note: The maxStat attribute is controlled by the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The maxStat times 4 (octets) plus additional 12 octets (for header) must not exceed the AAL5 maximum SDU size (lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+
+The consistency control is not performed until the NniSaalTp MO is created since there is no relation between the NniSaalProfile MO and the Aal5TpVccTp MO.</description>
+ <long>
+ <range>
+ <min>3</min> <max>1001</max>
+ </range>
+ <defaultValue>67</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="initialCredit">
+ <description>Initial number of credits.
+
+Note: For 64 kbit/s use 30.
+
+Note! The value for initialCredit must be larger than the value of maxPD.</description>
+ <long>
+ <range>
+ <min>10</min> <max>1000</max>
+ </range>
+ <defaultValue>250</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerKeepAlive">
+ <description>Timer to be used during a period of no outstanding acknowledgements or new data pending receipt of credit. At timeout the peer is polled to see if it is alive. The timer determines the interval between polls in transient phase. This timer should be greater than the roundtripdelay and also greater than timerpoll. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerNoResponse">
+ <description>Timer to determine the maximum time interval during which at least one STAT PDU reception is expected as a response to a poll.This timer should be equal to timerKeepAlive+roundtripdelay. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerIdle">
+ <description>Timer running when there are no PDUs to transmit and there are no outstanding acknowledgements or data pending for credit. When this timer is running, no POLL PDUs are sent. This timer should be significantly greater than timerKeepAlive value. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerCC">
+ <description>Timer determining the time between re-transmission of PDUs: BGN, END, ER, RS. Time unit = milliseconds.
+Should be more than the roundtrip delay.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="mps">
+ <description>Management Proving Status which is used to decide if proving is done or not during SAAL connection setup.
+</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel1OnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationLevel1OnSet &lt;= congestationLevel2OnSet &lt;= congestationLevel3OnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel2OnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationLevel1OnSet &lt;= congestationLevel2OnSet &lt;= congestationLevel3OnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel3OnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationLevel1OnSet &lt;= congestationLevel2OnSet &lt;= congestationLevel3OnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel1Abatement">
+ <description>Attribute specifying the percentage of the buffer for which congestionLevel1 is stopped. If the congestionAbatement level is lesser but almost equal to congestionOnSet, congestion alarms might be raised and ceased very often.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="nrOfPDUsDuringProving">
+ <description>The length of proving in terms of number of transmitted PDUs (n1).
+noOfPDUsDuringProving x T3 &lt; T2
+
+For the TTC standard the only allowed value is 0 (which means no proving).</description>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxNRP">
+ <description>State variable which defines max number of retransmissions of PDUs during proving to consider proving successful.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerNoCredit">
+ <description>Maximum time of no credit. If the timer expires, the assured data transfer mode is released.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerRepeatSrec">
+ <description>Timer which is set whenever a report of SSCOP recovery is received from the SSCF. If the timer is running when another report of recovery is received, the assured data transfer mode is released. If the timer expires, nothing is done. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>86400000</max>
+ </range>
+ <defaultValue>3600000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Timer between the link release action and the next link re-establish action during the alignment. At expiry of timer T1, a new attempt to setup assured data transfer mode to the peer is done. Time unit = milliseconds.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Total time that SSCF will attempt alignment. At expiry of timer T2, the alignment procedure will be interrupted.
+ Time unit = milliseconds.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>200000</max>
+ </range>
+ <defaultValue>30000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Time between proving PDUs. The timer is set such that loading of the signalling link is approximately 50% of its nominal rate.
+Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>5</min> <max>1000</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <exception name="ListOfTimeSlotsNotUniqueException">
+ <description>Exception thrown when a time slot appears more than once in the time slot list.</description>
+ </exception>
+
+ <enum name="RefActivity">
+ <description>RefActivity can have the following values:
+
+inactive = Synchronization reference is currently not used in system clock generation.
+active = Synchronization is used in system clock generation.
+</description>
+ <enumMember name="inactive">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="active">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RefState">
+ <description>RefState can have the following values:
+failed = synchronization reference is not capable to perform its required tasks.
+degraded = capability of synchronization reference to perform its required tasks is degraded e.g. because of signal level degradation. This value is only applicable for traffic carrying (ET physical path termination) synchronization references. Note: attribute degradationIsFault controls whether synchronization reference degradation is interpreted as a synchronization reference fault or not.
+lossOfTracking = system clock regulation algorithm on TU board can not follow the 8kHz synchronization reference signal either because of the poor quality of the signal or because of a HW fault at TU board. If all synchronization references repeatedly end up to state lossOfTracking, fault is likely in TU HW.
+ok = synchronization reference is capable of performing its required tasks.
+</description>
+ <enumMember name="failed">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="degraded">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="lossOfTracking">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ok">
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="FaultCriteria">
+ <description>FaultCriteria can have the following values:
+degrNotFault = synchronization reference degradation is NOT interpreted as a synchronization reference fault.
+degrIsFault = synchronization reference degradation is interpreted as a synchronization reference fault.</description>
+ <enumMember name="degrNotFault">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="degrIsFault">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="ClockState">
+ <description>ClockState can have the following values:
+startUp =
+locked = system clock signal on Timing Unit is locked: a synchronization reference is used in the system clock generation, clock accuracy is 50 ppb or better.
+holdOver = system clock signal on Timing Unit is hold-over: no synchronization reference is used in system clock generation, clock accuracy is 50 ppb or better.
+freeRunning = system clock signal on Timing Unit is free running: no synchronization reference is used in system clock generation, clock accuracy is 4.6 ppm or better.
+failed = system clock signal on Timing Unit is failed: fault in system clock generation function, no quarantee of clock accuracy level.
+not Applicable = system clock signal on Timing Unit is not applicable: TU board not present.</description>
+ <enumMember name="unknownMode">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="startupMode">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="lockedMode">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="holdOverMode">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="freeRunningMode">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="failedMode">
+ <value>5</value>
+ </enumMember>
+ <enumMember name="lossOfTrackingMode">
+ <value>6</value>
+ </enumMember>
+ <enumMember name="notApplicable">
+ <value>7</value>
+ </enumMember>
+ </enum>
+
+ <exception name="AlreadyRegisteredException">
+ <description>Exception thrown when an object is already registered.</description>
+ </exception>
+
+ <exception name="MaxNoOfRefRegisteredException">
+ <description>Exception thrown when the maximum number of references is reached.</description>
+ </exception>
+
+ <exception name="PriorityAlreadyInUseException">
+ <description>Exception thrown when a priority is already in use.</description>
+ </exception>
+
+ <exception name="PriorityOutOfRangeException">
+ <description>Exception thrown when the priority is out of range.</description>
+ </exception>
+
+ <exception name="NotPermittedException">
+ <description>Exception thrown when an reference is not valid, null or of incorrect type.</description>
+ </exception>
+
+ <exception name="NotRegisteredException">
+ <description>Exception thrown when trying to de-register an object that is not registered in the database.</description>
+ </exception>
+
+ <enum name="SystemClockRedundancy">
+ <enumMember name="SYSTEM_CLOCK_USERS_USE_PLANE_A">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SYSTEM_CLOCK_USERS_USE_PLANE_B">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SYSTEM_CLOCK_USERS_USE_PLANE_A_AND_B">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <exception name="NotUniqueFroIdException">
+ <description>Exception thrown when a fro Id is not unique.</description>
+ </exception>
+
+ <enum name="ActiveSwitchPlane">
+ <enumMember name="switchPlaneA">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="switchPlaneB">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="switchPlaneBoth">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SwitchReliableGroup">
+ <description>A predefined group consisting of working links and their corresponding protecting links. These links form the n+m link redundancy. </description>
+ <enumMember name="physicalLinkStatusWorking">
+ <description>Defines physical link status.
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="physicalLinkStatusProtecting">
+ <description>Defines physical link status.
+</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="physicalLinkStatusUndefined">
+ <description>Defines physical link status.
+</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="GlobalTitleData">
+ <structMember name="indicator">
+ <description>Global title indicator should have following values for both ITU and TTC:
+
+The values for the GT Indicator
+
+Value Comment
+
+0 No new global title
+2 TT only
+3 TT-NP-ES
+4 TT-NP-ES-NOA
+
+Explanation:
+
+TT = translation type
+NP = numbering plan
+ES = encoding scheme
+NOA = nature of address indication</description>
+ <long>
+ <range>
+ <min>0</min> <max>4</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="natureOfAddress">
+ <description>Indicates number type, i.e. national or international number.
+Coding of the Nature Address:
+
+0 = "unknown"
+1 = "Subscriber number"
+2 = "Reserved for national use"
+3 = "National significant number"
+4 = "International number"</description>
+ <long>
+ <range>
+ <min>0</min> <max>4</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="numberingPlan">
+ <description>Indicates which numbering plan that is used.
+
+Coding of the Numbering Plan:
+
+0 = "Unknown"
+1 = "ISDN/Telephony Numbering Plan"
+3 = "Data Numbering Plan"
+4 = "Telex Numbering Plan"
+5 = "Maritime Mobile Numbering Plan"
+6 = "Land Mobile Numbering Plan"
+7 = "ISDN/Mobile Numbering Plan"
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>7</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="translationType">
+ <description>Used to direct the message to the appropriate Global Title translation function.</description>
+ <long>
+ <range>
+ <min>0</min> <max>254</max>
+ </range>
+ </long>
+ </structMember>
+ <structMember name="addressInformation">
+ <description>Digit string of 1-37 digits, where each digit is 0-9, and B, C. B and C are for Code 11 and Code 12.
+The addressInformation may also contain wild card symbols: "*" or "?". Wild cards are only allowed for defining Global Title Translations, they are not allowed for specifying SCCP SAPs (built with SPC and Global Title or SPC + SSN + Global Title).</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>37</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="encodingScheme">
+ <description>Indicates if the number of address signals are an odd or even number, and is coded as follows:
+
+0 = "Unknown"
+1 = "BCD, odd number of digits"
+2 = "BCD, even number of digits"
+
+Other values in the encoding scheme will result in the error "Wrong Nature Of Address", (Routing failure reason=No translation for an address of such nature).</description>
+ <long>
+ <range>
+ <min>0</min> <max>2</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="SharingMode">
+ <enumMember name="loadShare">
+ <description>The when sharing mode is set to loadShare the SCCP traffic is load shared between the two entities (access points).</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="dupliDominant">
+ <description>When the sharing mode is set to dupliDominant the second entity (access point) is a backup for the first entity.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="EncodingScheme">
+ <description>Possible values for number signalling.</description>
+ <enumMember name="UNKNOWN">
+ <description>Unkown encoding scheme.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ODD_NUMBER">
+ <description>BCD, odd number of digits.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="EVEN_NUMBER">
+ <description>BCD, even number of digits.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="GlobalTitleIndicator">
+ <description>Global title indicator values for China, ITU, TTC and ANSI.
+
+Note: China, ITU and TCC will use TT_ONLY, TT_NP_ES, TT_NP_ES_NOA, NOA_ONLY.
+
+ANSI will use:
+ANSI_TT_NP_ES = 5
+ANSI_TT_ONLY = 6
+</description>
+ <enumMember name="TT_ONLY">
+ <description>Translation Type only.
+
+Only valid for China, ITU and TTC.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="TT_NP_ES">
+ <description>Translation Type, Numbering Plan and Encoding Scheme.
+
+Only valid for China, ITU and TTC.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="TT_NP_ES_NOA">
+ <description>Translation Type, Numbering Plan, Encoding Scheme, and Nature Of Address indication.
+
+Only valid for China, ITU and TTC.</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="NOA_ONLY">
+ <description>Nature of Address indicator only.
+
+Only valid for China, ITU and TTC.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="ANSI_TT_NP_ES">
+ <description>Translation Type, Numbering Plan and Encoding Scheme.
+
+Only valid for ANSI.
+</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="ANSI_TT_ONLY">
+ <description>Translation Type only.
+
+Only valid for ANSI.
+</description>
+ <value>6</value>
+ </enumMember>
+ </enum>
+
+ <enum name="NatureOfAddress">
+ <description>Phone number types.</description>
+ <enumMember name="UNKNOWN">
+ <description>Unknown number type.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SN">
+ <description>Subscriber number type.
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="RN">
+ <description>Reserved for national use, number type.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="NSN">
+ <description>National Significant Number type.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="IN">
+ <description>International number type.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <enum name="NumberingPlan">
+ <description>Numbering plans.</description>
+ <enumMember name="UNKNOWN">
+ <description>Unknown numbering plan.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="TELEPHONY">
+ <description>ISDN/Telephony numbering plan.
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="DATA">
+ <description>Data numbering plan.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="TELEX">
+ <description>Telex numbering plan.
+</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="MOBILE_MARITIME">
+ <description>Maritime mobile numering plan.
+</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="MOBILE_LAND">
+ <description>Land mobile numbering plan.
+</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="MOBILE">
+ <description>ISDN/Mobile numbering plan.</description>
+ <value>6</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SccpCountType">
+ <enumMember name="MESSAGES">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="OCTETS">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Scmg">
+ <structMember name="sendSST">
+ <description>Controls when to send SST (SCCP Subsystem Test message).
+
+0 = Wait for tStatInfo timer to expire once after MTP_RESUME before sending SST.
+1 = Send SST immediately after MTP_RESUME, then start tStatInfo timer.</description>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="sendSSA">
+ <description>Controls when to send SSA (SCCP Subsystem Allowed message).
+
+0 = Do not send SSA when client attaches, wait until SST is received. (default for ANSI/CHINA/ITU/TTC)
+1 = Send SSA immediately when client attaches.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="sendSSP">
+ <description>Controls whether to send SSP (SCCP Subsystem Prohibited message).
+
+0 = Do not send SSP when client detaches. (default for TTC)
+1 = Send SSP immediately when client detaches. (default for ANSI/CHINA/ITU)</description>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="useSST">
+ <description>Controls whether to send SST messages or not (SCCP Subsystem Test message).
+
+0 = Send SST as indicated by sendSST. (default for ANSI/CHINA/ITU)
+1 = (not used)
+2 = (not used)
+3 = Do not initiate SST messages at all, ie. do not start timer tStatInfo nor send any SST at MTP_RESUME. (default for TTC)</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="allowRemoteBroadcast">
+ <description>Controls the remote broadcast.
+
+0 = allow remote broadcast. (default for ANSI/CHINA/ITU/TTC)
+1 = do not allow remote broadcast</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="initiateTimerTcon">
+ <description>Controls whether to initiate hardcoded congestion timer or not.
+
+0 = start timer when SSC is received. (default for CHINA/ITU/TTC)
+1 = do not start timer
+
+Note! This attribute is not valid for the ANSI standard.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="DiscardReturnServiceMsg">
+ <enumMember name="DISCARD">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="RETURN">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <exception name="WrongUserTypeException">
+ </exception>
+
+ <exception name="UsageNotPermittedException">
+ </exception>
+
+ <enum name="J1Type">
+ <description>J1Type can have the following values:
+J1_G = 0 according to TTC JT-G703/G704
+J1_I = 1 according to TCC JT-I431</description>
+ <enumMember name="j1_G">
+ <description>J1 according to TTC JT-G703/G704</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="j1_I">
+ <description>J1 according to TCC JT-I431</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="StandardMode">
+ <description>Indicates what standard the MAO is operating to.</description>
+ <enumMember name="TTC">
+ <description>The standard mode is Telecommunication Technology Committee (TTC).</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ANSI">
+ <description>The standard mode ANSI.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ETSI">
+ <description>The standard mode is ETSI.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="MuxMode">
+ <description>Indicates what multiplexing is being used.</description>
+ <enumMember name="STANDBY">
+ <description>Standby for protection.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="E1_J1_T1_LEVEL">
+ <description>Multiplexing terminated at the E1/J1/T1 level.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="VC4_STS3CSPE_LEVEL">
+ <description>Multiplexing terminated at the VC-4/STS3CSPE level.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Os155LoopBack">
+ <description>The loopback method available for use by the Os155SpiTtp MO.</description>
+ <enumMember name="NONE">
+ <description>No loopback in use.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LINE">
+ <description>Loopingback using line.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SwitchModes">
+ <description>The switch modes.</description>
+ <enumMember name="AUTOMATIC">
+ <description>Automatic switching.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LOCKED">
+ <description>Locked for swtiching.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <exception name="FailedResourceException">
+ </exception>
+
+ <exception name="LockedResourceException">
+ </exception>
+
+ <exception name="UnknownReasonException">
+ </exception>
+
+ <exception name="AlreadyLockedException">
+ </exception>
+
+ <exception name="IncorrectOsi155SpiIdException">
+ </exception>
+
+ <enum name="PathTraceFormat">
+ <description>Path Trace Formats.</description>
+ <enumMember name="ITU_T_G707">
+ <description>16 byte path trace format according to ITU-T G707 table 4.</description>
+ <value>16</value>
+ </enumMember>
+ <enumMember name="GR_253_CORE">
+ <description>64 byte path trace format according to section 3.3.2.3, Telecordia GR-253-CORE.</description>
+ <value>64</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Crc4Mode">
+ <description>Cyclical Redundancy Checks 4 modes.</description>
+ <enumMember name="OFF">
+ <description>CRC 4 is off.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ON">
+ <description>CRC 4 is on.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="AUTOMATIC">
+ <description>CRC 4 is automatic.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="IdlePattern">
+ <description>Idle patterns.</description>
+ <enumMember name="A_LAW">
+ <description>A law idle pattern.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="U_LAW">
+ <description>Micro law idle pattern.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="UNDEFINED">
+ <description>Undefined idle pattern.</description>
+ <value>0</value>
+ </enumMember>
+ </enum>
+
+ <enum name="LoopbackState">
+ <description>The possible loopback states for E1/J1/T1/E3/T3PhysPathTerm MOs.</description>
+ <enumMember name="none">
+ <description>This is the normal state, no loop back is preformed (and ordinary traffic is running).</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="line">
+ <description>The phyiscal path is looped on the line side, the external incoming path is looped back to the external outgoing path.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="system">
+ <description>The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="TransmissionMode">
+ <description>L stands for long haul interface and S stands for short haul interface.
+The subsequent figure specifies the cable distance in feets.</description>
+ <enumMember name="L_6000">
+ <description>Long Haul 6000 ft, -0 dB</description>
+ <value>8</value>
+ </enumMember>
+ <enumMember name="L_4000">
+ <description>Long Haul 4000 ft, -7 dB</description>
+ <value>7</value>
+ </enumMember>
+ <enumMember name="L_2000">
+ <description>Long Haul 2000 ft, -15 dB</description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="L_0">
+ <description>Long Haul 0 ft, -22 dB</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="S_133">
+ <description>Short Haul 0..133 ft</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="S_266">
+ <description>Short Haul 134..266 ft</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="S_399">
+ <description>Short Haul 267..399 ft</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="S_533">
+ <description>Short Haul 400..533 ft</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="S_655">
+ <description>Short Haul 534..655 ft</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpItuPriorityData">
+ <description>Priority attributes for MTP3b SP of the ITU standard.</description>
+ <structMember name="prioSlt">
+ <description>The prioSlt attribute sets the priority for the
+ Signalling Link Test Message and Acknowledge (SLTM / SLTA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTra">
+ <description>The prioTra attribute sets the priority for the
+Traffic Restart Allowed signal (TRA) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioUpu">
+ <description>The prioUpu attribute sets the priority for the
+ User Part Unavailable (UPU) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioLink">
+ <description>The prioLink attribute sets the priority for link related messages when issued by the MTP3b itself. The messages are :
+
+Link INhibit (LIN), Link UNinhibit (LUN),
+Link Inhibit Denied (LID),
+Link Inhibit Acknowledge (LIA),
+Link Uninhibit Acknowledge (LUA),
+Link Forced Uninhibit (LFU),
+Link Local inhibit Test (LLT) and
+Link Remote inhibit Test (LRT)
+
+When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpItuTimerData">
+ <description>ITU timers for MTP3bSpItu. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Timer for waiting for CBA, second attempt
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT12">
+ <description>Waiting for uninhibit acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT13">
+ <description>Timer for waiting for force uninhibit.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT14">
+ <description>Timer for waiting for inhibition acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT18">
+ <description>Supervision timer for the first phase of a restarting STP. This is an STP specific parameter, which is ignored when configured as an endpoint.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).
+</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>550</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT19">
+ <description>Controlling the response of the receipt of a traffic restart message from an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>680</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT20">
+ <description>Overall MTP restart timer at the restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT21">
+ <description>Overall MTP restart timer at an adjacent signalling point to a restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>640</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT22">
+ <description>Local inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT23">
+ <description>Remote inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSlta">
+ <description>Supervision timer for SLTA message (time within SLTA should arrive).
+
+Timer T1 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSltm">
+ <description>Timer for sending SLTM periodically.
+
+Timer T2 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTack">
+ <description>Timer used for message acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaPeriodicAudit">
+ <description>Timer used for audit procedure.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT1">
+ <description>Delay to avoid mis-sequencing of messages when an association fails.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT3">
+ <description>Delay to avoid mis-sequencing of messages when an association becomes active.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT6">
+ <description>Delay to avoid mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT8">
+ <description>Delay to prohibit sending of DUNA messages via the response method.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT40">
+ <description>Delay to avoid message mis-sequencing on controlled/forced rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT41">
+ <description>Timer controlloing the traffic activation delay during restart phase.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT42">
+ <description>The forever interval period for trying association after an unsiccessfull trial.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTc">
+ <description>Timer controlling the period a route set is considered congested when a SCON message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTassocack">
+ <description>Timer used for SCTP_ASSOCIATE_REQ message acknowledgement. This is the maximum time to wait for the SCTP_COMMUP_IND mesage before considering it to be an error.
+It should be longer than the time a message spends to reach the most distant destination, be processed and returned.</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="CongestLevel">
+ <description>The supported congestion levels.</description>
+ <enumMember name="level_0">
+ <description>No Congestion.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="level_1">
+ <description>Congestion level low.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="level_2">
+ <description>Congestion level medium.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="level_3">
+ <description>Congestion level high.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <exception name="ActivationFailedException">
+ </exception>
+
+ <exception name="NoAvailRouteException">
+ </exception>
+
+ <enum name="Mtp3bCongestLevel">
+ <enumMember name="notCongested">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="congested">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bNetworkInd">
+ <description>Indicates which type of geographical network, e.g. national, international, etc..
+
+Note: Not applicable for TTC.</description>
+ <enumMember name="INTERNATIONAL_NETWORK">
+ <description>International Network.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SPARE">
+ <description>Spare (for international use only).</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="NATIONAL_NETWORK">
+ <description>National network.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="RESERVED_FOR_NATIONAL_USE">
+ <description>Reserved for national use.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bTfrHandling">
+ <description>Indicates how a TransFer Restricted (TFR) signal is handled.</description>
+ <enumMember name="HANDLE_AS_TFA">
+ <description>Treat the TransFer Restricted (FTR) signal as a TransFer Allowed (TFA) signal.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="IGNORED">
+ <description>Ignore the TransFer Restricted (TFR) signal.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="HANDLE_BY_STANDARD">
+ <description>Handle the incoming TRF messages according to the standard.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bSioSpareBitsUse">
+ <description>Indicates whether the two spare bits in the Service Information Octet (SIO) should be treated as spare or for indicating a priority level. </description>
+ <enumMember name="SPARE">
+ <description>The two spare bits in the SIO are treated as spare.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="PRIO">
+ <description>The two spare bits in the SIO are to be used for indicating a priority level.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bItuVersion">
+ <description>Indicates which version of the ITU standard.</description>
+ <enumMember name="ITU_T_88">
+ <description>ITU-T -88.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ITU_T_03_93">
+ <description>ITU-T 03/93.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="ITU_T_07_96">
+ <description>ITU-T 07/96</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpAnsiTimerData">
+ <description>ANSI timers for MTP3bSpAnsi. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Timer for waiting for CBA, second attempt
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT12">
+ <description>Waiting for uninhibit acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT13">
+ <description>Timer for waiting for force uninhibit.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT14">
+ <description>Timer for waiting for inhibition acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT30">
+ <description>Timer to limit sending of TFPs and TFRs in response to unexpected TRA and TRW. This timer is specific for STP functionality and is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT25">
+ <description>Delay extension timer invoked on reciept of a Traffic Restart Waiting message from an adjacent SP which is restarting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT26">
+ <description>Controlling the periodic resend of Traffic Restart Waiting messages during restart of the local node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>120</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT27">
+ <description>Timer enforcing a minimum node unavailablility period during MTP restart.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT28">
+ <description>Delay timer controlling a timed reaction of the restart of an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT29">
+ <description>Timer controlling the response of the receipt of Traffic Restart messages from an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT20">
+ <description>Controlling the periodic resend of Local Inhibit Change Message.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT21">
+ <description>Controlling the periodic resend of Remote Inhibit Test Message.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT22">
+ <description>Delay on commencement of MTP Restart to allow the node to rebuild an accurate routing table based on messages for adjacent nodes.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT23">
+ <description>Supervision timer for routing updates on MTP Restart.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>40</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT31">
+ <description>Timer controlling the detection of false link congestion.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSltm">
+ <description>Timer for sending SLTM periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSlta">
+ <description>Supervision timer for SLTA message (time within SLTA should arrive).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTack">
+ <description>Timer used for message acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaPeriodicAudit">
+ <description>Timer used for audit procedure.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT1">
+ <description>Delay to avoid mis-sequencing of messages when an association fails.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT3">
+ <description>Delay to avoid mis-sequencing of messages when an association becomes active.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT6">
+ <description>Delay to avoid mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT8">
+ <description>Delay to prohibit sending of DUNA messages via the response method.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT40">
+ <description>Delay to avoid message mis-sequencing on controlled/forced rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT41">
+ <description>Timer controlloing the traffic activation delay during restart phase.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT42">
+ <description>The forever interval period for trying association after an unsiccessfull trial.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTc">
+ <description>Timer controlling the period a route set is considered congested when a SCON message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTassocack">
+ <description>Timer used for SCTP_ASSOCIATE_REQ message acknowledgement. This is the maximum time to wait for the SCTP_COMMUP_IND mesage before considering it to be an error.
+It should be longer than the time a message spends to reach the most distant destination, be processed and returned.</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpTtcTimerData">
+ <description>TTC timers for MTP3bSpTtc. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSrt">
+ <description>Timer pending a Signalling Route Test Acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpAnsiPriorityData">
+ <description>Priority attributes for MTP3b SP of the ANSI standard.</description>
+ <structMember name="prioSlt">
+ <description>The prioSlt attribute sets the priority for the
+ Signalling Link Test Message and Acknowledge (SLTM / SLTA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTra">
+ <description>The prioTra attribute sets the priority for the
+Traffic Restart Allowed signal (TRA) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioUpu">
+ <description>The prioUpu attribute sets the priority for the
+ User Part Unavailable (UPU) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioLink">
+ <description>The prioLink attribute sets the priority for link related messages when issued by the MTP3b itself. The messages are :
+
+Link INhibit (LIN), Link UNinhibit (LUN),
+Link Inhibit Denied (LID),
+Link Inhibit Acknowledge (LIA),
+Link Uninhibit Acknowledge (LUA),
+Link Forced Uninhibit (LFU),
+Link Local inhibit Test (LLT) and
+Link Remote inhibit Test (LRT)
+
+When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpTtcPriorityData">
+ <description>Priority attributes for MTP3b SP of the TTC standard.</description>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+.</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTfa">
+ <description>The prioTfa attribute sets the priority for the Transfer Allowed, TFA, messages.
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRt">
+ <description>The priority indicator used for indicating message priority for signalling route test messages (SRT/SRA).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTfc">
+ <description>The priority indicator used for indicating the message prioirity for TFC.
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <enum name="Mtp3bSpPriorityLevel">
+ <description>Indicates the priority level for MTP 3.</description>
+ <enumMember name="LOWEST">
+ <description>Lowest priority setting.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LOW">
+ <description>Low priority setting.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="HIGH">
+ <description>High priority setting.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="HIGHEST">
+ <description>Highest priority setting.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpChinaTimerData">
+ <description>China timers for MTP3bSpChina. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerSlta">
+ <description>Supervision timer for SLTA message (time within SLTA should arrive).
+
+Timer T1 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSltm">
+ <description>Timer for sending SLTM periodically.
+
+Timer T2 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT12">
+ <description>Waiting for uninhibit acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT13">
+ <description>Timer for waiting for force uninhibit.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT14">
+ <description>Timer for waiting for inhibition acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT18">
+ <description>Supervision timer for the first phase of a restarting STP. This is an STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).
+</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT19">
+ <description>Controlling the response of the receipt of a traffic restart message from an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>40</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT20">
+ <description>Overall MTP restart timer at the restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>40</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT21">
+ <description>Overall MTP restart timer at an adjacent signalling point to a restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT22">
+ <description>Local inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT23">
+ <description>Remote inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Timer for waiting for CBA, second attempt
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTack">
+ <description>Timer used for message acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaPeriodicAudit">
+ <description>Timer used for audit procedure.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT1">
+ <description>Delay to avoid mis-sequencing of messages when an association fails.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT3">
+ <description>Delay to avoid mis-sequencing of messages when an association becomes active.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT6">
+ <description>Delay to avoid mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT8">
+ <description>Delay to prohibit sending of DUNA messages via the response method.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT40">
+ <description>Delay to avoid message mis-sequencing on controlled/forced rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT41">
+ <description>Timer controlloing the traffic activation delay during restart phase.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT42">
+ <description>The forever interval period for trying association after an unsiccessfull trial.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTc">
+ <description>Timer controlling the period a route set is considered congested when a SCON message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTassocack">
+ <description>Timer used for SCTP_ASSOCIATE_REQ message acknowledgement. This is the maximum time to wait for the SCTP_COMMUP_IND mesage before considering it to be an error.
+It should be longer than the time a message spends to reach the most distant destination, be processed and returned.</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="Mtp3bNetworkIndTtc">
+ <description>Indicates which type of geographical network, e.g. national, international, etc..
+
+Note: Only for TTC.</description>
+ <enumMember name="NATIONAL_NETWORK">
+ <description>National network.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SPARE">
+ <description>Spare (for international use only).</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="INTERNATIONAL_NETWORK">
+ <description>International Network.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="SPARE_1">
+ <description>Spare.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bUsageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).</description>
+ <enumMember name="IDLE">
+ <description>idle, the Mtp3bSl carries no traffic.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ACTIVE">
+ <description>active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="BUSY">
+ <description>busy, the Mtp3bSl is working but is congested (traffic is routed on other links).</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bProceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized</description>
+ <enumMember name="NOT_INITIALIZED">
+ <description>0 - not initialized.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="INITIALIZED">
+ <description>1 - initialized.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="NodeBehaviourType">
+ <description>The nodeBehaviorType attribute represents the MTP3b node type.</description>
+ <enumMember name="SEP">
+ <description>Signaling End Point.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SEP_STP">
+ <description>Signalling Transfer and End Point, i.e the signalling point is of both type Signalling End Point (SEP) and Signalling Transfer Point (STP).</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="SGW_STP_SEP">
+ <description>Signaling GateWay, Signaling End Point and Signalling Transfer Point, i.e the signalling point is of both type Signalling Transfer Point (STP), Signaling End Point (SEP) and Signaling GateWay (SGW).</description>
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpChinaPriorityData">
+ <description>Priority attributes for MTP3b SP of the China standard.</description>
+ <structMember name="prioSlt">
+ <description>The prioSlt attribute sets the priority for the
+ Signalling Link Test Message and Acknowledge (SLTM / SLTA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTra">
+ <description>The prioTra attribute sets the priority for the
+Traffic Restart Allowed signal (TRA) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioUpu">
+ <description>The prioUpu attribute sets the priority for the
+ User Part Unavailable (UPU) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioLink">
+ <description>The prioLink attribute sets the priority for link related messages when issued by the MTP3b itself. The messages are :
+
+Link INhibit (LIN), Link UNinhibit (LUN),
+Link Inhibit Denied (LID),
+Link Inhibit Acknowledge (LIA),
+Link Uninhibit Acknowledge (LUA),
+Link Forced Uninhibit (LFU),
+Link Local inhibit Test (LLT) and
+Link Remote inhibit Test (LRT)
+
+When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <enum name="Mtp3bRouteType">
+ <description>The type of Signalling Route.</description>
+ <enumMember name="TDM_ATM">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="IP">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="HomingState">
+ <enumMember name="SINGLE_HOMED_1">
+ <description>Single-homed with ipAddress1.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SINGLE_HOMED_2">
+ <description>Single-homed with ipAddress2.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="MULTI_HOMED">
+ <description>Multihomed association with ipAddress1 and
+ipAddress2
+</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RouteState">
+ <description>The state of the signaling route.</description>
+ <enumMember name="NOT_BLOCKED">
+ <description>The signaling route is not blocked.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="BLOCKED">
+ <description>The signaling route is blocked.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="WorkingMode">
+ <enumMember name="hostMode">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="routerMode">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="OspfMetricType">
+ <description>Open Shortest Path First metric type.</description>
+ <enumMember name="comparableCost">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="nonComparable">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RouteType">
+ <description>The types of the routes found in the IP routing table.</description>
+ <enumMember name="INET_RTPROTO_OTHER">
+ <description>The source of information is unknown.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTO_LOCAL">
+ <description>Route created from local configuration data (both static and interface routes).
+Each interface created gets an entry in the routing table of the RO.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTO_NETMGMT">
+ <description>Route added by SNMP.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTO_OSPF">
+ <description>Route added by OSPF.</description>
+ <value>13</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTR_ICMP">
+ <description>Route added by ICMP redirect message.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <exception name="RouteNotFoundException">
+ <description>Invalid IP route has been given as a parameter to an action.</description>
+ <exceptionParameter name="routeNotFound">
+ <description>Exception message.</description>
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="InvalidRouteException">
+ <description>Invalid IP route has been given as a parameter to an action.</description>
+ <exceptionParameter name="invalidRoute">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <enum name="MauDuplexMode">
+ <enumMember name="HALF_DUPLEX">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="FULL_DUPLEX">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="ControllerMode">
+ <description>The controller mode of a physical interface.</description>
+ <enumMember name="CONTROLLER_E1">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="CONTROLLER_T1">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="CONTROLLER_J1">
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="OspfAreaRange">
+ <description>ipAddress - the subnet address of the range.
+subnetMask - IP subnet mask of the range to be added.
+advertise - indicates whether the area range should be advertised into OSPF.
+</description>
+ <structMember name="ipAddress">
+ <string/>
+ </structMember>
+ <structMember name="subnetMask">
+ <description>Note! The subnet has to be contigious. That is, has the LSB set to 0 (zero).
+
+Example:
+
+255.255.255.X
+
+Contiguous subnet mask:
+11111111.11111111.11111111.11111110 (X = 254)
+11111111.11111111.11111111.11111100 (X = 252)
+
+Not-contiguous subnet mask:
+11111111.11111111.11111111.11111010 (X = 250)
+</description>
+ <string/>
+ </structMember>
+ <structMember name="advertise">
+ <boolean/>
+ </structMember>
+ </struct>
+
+ <struct name="RoutingTableEntry">
+ <description>IP routing table entry.</description>
+ <structMember name="destinationIpAddr">
+ <description>The destination IP address.</description>
+ <string/>
+ </structMember>
+ <structMember name="destinationNetworkMask">
+ <description>The destination IP network mask.</description>
+ <string/>
+ </structMember>
+ <structMember name="nextHopIpAddr">
+ <description>The next hop IP address.</description>
+ <string/>
+ </structMember>
+ <structMember name="routeMetric">
+ <description>The route metric.</description>
+ <long/>
+ </structMember>
+ <structMember name="routeType">
+ <description>The route type.</description>
+ <enumRef name="RouteType">
+ </enumRef>
+ </structMember>
+ <structMember name="interfaceName">
+ <description>The interface name.</description>
+ <string/>
+ </structMember>
+ <structMember name="redistribute">
+ <description>Indicates if the route should be redistributed.</description>
+ <boolean/>
+ </structMember>
+ <structMember name="active">
+ <description>Indicates if the route is active.</description>
+ <boolean/>
+ </structMember>
+ </struct>
+
+ <enum name="AutoConfigurationMode">
+ <description>Denotes whether the autoConfiguration mode for the IpAccessHost is turned on or off.</description>
+ <enumMember name="ON">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="OFF">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Aal5TpSelectionModes">
+ <description>In case 'NO_PREFERENCE', 'LOCAL_MP_SELECT_VCC_AT_LINK_UP', LOCAL_MP_PEER_SELECTION_VCC' or 'LOCAL_MP_ACTIVE_RESELECTION' is selected the IpAtmLink MO will prefer Aal5TpVccTp on local MP (i.e. Aal5TpVccTp executing on the same MP as the IpAtmLink), but other Aal5TpVccTp will be accepted if needed.
+
+In case 'ONLY_LOCAL_MP' is selected, the ipAtmLink (Inet Link) will consider Aal5TpVccTp residing on other MPs as a malfunction and discard the usage of them. No InvArp sending will be performed on them and all incomming trafic on them will be discarded. If no local Aal5TpVccTp exists the operational state of the link will be changed to disabled.</description>
+ <enumMember name="NO_PREFERENCE">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LOCAL_MP_SELECT_VCC_AT_LINK_UP">
+ <description>Prefer termination on local MP, select Vcc when link goes up.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="LOCAL_MP_PEER_SELECTION_VCC">
+ <description>Prefer termination on local MP, follow peer selection of Vcc.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="LOCAL_MP_ACTIVE_RESELECTION">
+ <description>Prefer termination on local MP, active reselection to new Aal5TpVccTp as soon as a better choice exists.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ONLY_LOCAL_MP">
+ <description>Restricted to local MP, follow peer selection if termination is on local MP.
+</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AlarmReport">
+ <description>Alarm report for the Virtual path connection termination point.
+RDI - Remote defect indication
+LOC - Loss of continuity
+AIS - Alarm indication signal</description>
+ <enumMember name="ALARM_LOC">
+ <description>For alarms of type Loss of continuity.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ALARM_LOC_RDI">
+ <description>For alarms of type Loss of continuity and Remote defect indication.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ALARM_AIS_LOC">
+ <description>For alarms of type Alarm indication signal and Loss of continuity.</description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="ALARM_AIS_RDI_LOC">
+ <description>For alarms of type Alarm indication signal, Remote defect indication and Loss of continuity.</description>
+ <value>7</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AtmCounterMode">
+ <enumMember name="PM_MODE_OFF">
+ <description>Performance monitoring is turned off. PM counters give irrelevant values.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="PM_MODE_ONLYCOUNT">
+ <description>Performance monitoring counters are active
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="PM_MODE_FPM">
+ <description>FPM, Forward Performance Monitoring activated.
+
+Performance monitoring counters are active
+</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="PM_MODE_BR">
+ <description>Backward reporting, BR, cells are generated.
+
+Performance monitoring counters are active
+</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="PM_MODE_FPM_BR">
+ <description>FPM, Forward Performance Monitoring activated.
+
+Backward reporting, BR, cells are generated.
+
+Performance monitoring counters are active
+</description>
+ <value>6</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AtmNomPmBlkSize">
+ <description>Nominal performance monitoring, PM, blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <enumMember name="NOM_PM_BLKSIZE_2_7">
+ <description>Nominal performance monitoring, PM, block size, 2e7 (2 to the power of 7).</description>
+ <value>128</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_8">
+ <description>Nominal performance monitoring, PM, block size, 2e8 (2 to the power of 8).</description>
+ <value>256</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_9">
+ <description>Nominal performance monitoring, PM, block size, 2e9 (2 to the power of 9).</description>
+ <value>512</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_10">
+ <description>Nominal performance monitoring, PM, block size, 2e10 (2 to the power of 10).</description>
+ <value>1024</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_11">
+ <description>Nominal performance monitoring, PM, block size, 2e11 (2 to the power of 11).</description>
+ <value>2048</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_12">
+ <description>Nominal performance monitoring, PM, block size, 2e12 (2 to the power of 12).</description>
+ <value>4096</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_13">
+ <description>Nominal performance monitoring, PM, block size, 2e13 (2 to the power of 13).</description>
+ <value>8192</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_14">
+ <description>Nominal performance monitoring, PM, block size, 2e14 (2 to the power of 14).</description>
+ <value>16384</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_15">
+ <description>Nominal performance monitoring, PM, block size, 2e15 (2 to the power of 15).</description>
+ <value>32768</value>
+ </enumMember>
+ </enum>
+
+ <enum name="ServiceCategory">
+ <enumMember name="SERVICE_CATEGORY_CBR">
+ <description>Service category Constant Bit rate, CBR.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SERVICE_CATEGORY_UBR">
+ <description>Service category Unspecified Bit rate, UBR.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="SERVICE_CATEGORY_UBR_PLUS">
+ <description>Service category Unspecified Bit rate+, UBR+. UBR+ guarantees a certain bandwidth. If higher bandwidth is used it will be handled as UBR.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AtmQos">
+ <description>ATM's Quality of Service classes.
+
+The ATM layer system provides four QoS classes, numbered 1 to 4, where CLASS_ONE gives the best QoS in regards to Cell Loss Ratio &lt;CLR&gt; and Cell Delay Variation &lt;CDV&gt; and CLASS_FOUR doesn't give any QoS guarantees. CLASS_ONE and CLASS_TWO are the only classes applicable for real time traffic.</description>
+ <enumMember name="CLASS_ONE">
+ <description>CDV &lt; 1 ms (milliseconds), CLR &lt; 10e-8. Typically used by timing unit.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="CLASS_TWO">
+ <description>CDV &lt; 1 ms, CLR &lt; 10e-7. Typically used for speech.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="CLASS_THREE">
+ <description>CLR &lt; 10e-5. Typically used for signalling.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="CLASS_FOUR">
+ <description>Typically used for IP.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="ValueOfUnex">
+ <description>The attributes in this struct represents the ATM header, (vpi, vci, pti), of the last recieved unexpected cell.</description>
+ <structMember name="vpi">
+ <description>The ATM header vpi.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="vci">
+ <description>The ATM header vci.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="pti">
+ <description>The ATM header pti.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <class name="TransportNetwork">
+ <description>This MO structures the transport network of the managed element. It is created automatically and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, TransportNetwork=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="TransportNetworkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="getAal5ForNniSaal">
+ <description>This action returns a sequnce of Aal5TpVccTp MOs that is unreserved and executes on an MP.
+
+Also the following condition has to be fullfilled:
+
+MinAal5Sdu = min (fromUserMaxSduSize, toUserMaxSduSize)
+
+roundedDownSdu = (MinAal5Sdu - (MinAal5Sdu modulo 4) +4)
+
+roundedDownSdu &gt; low boundry for maxSduSize (in NniSaalTp)
+
+Note that the values for fromUserMaxSduSize and toUserMaxSduSize is the actual values set in the Aal5TpVccTp MO.
+Also note that the attributes minAal5Sdu and roundedDownSdu is used internaly in this action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ <action name="getAal5ForUniSaal">
+ <description>This action returns a sequnce of Aal5TpVccTp MOs that is unreserved and executes on an MP.
+
+Also the following condition has to be fullfilled:
+
+MinAal5Sdu = min (fromUserMaxSduSize, toUserMaxSduSize)
+
+roundedDownSdu = (MinAal5Sdu - (MinAal5Sdu modulo 4) +4)
+
+roundedDownSdu &gt; low boundry for maxSduSize (in UniSaalTp)
+
+Note that the values for fromUserMaxSduSize and toUserMaxSduSize is the actual values set in the Aal5TpVccTp MO.
+Also note that the attributes minAal5Sdu and roundedDownSdu is used internaly in this action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ <action name="getAal2PathForAal2Pdu">
+ <description>This action returns a sequence of all unreserved Aal2PathVccTp's that have an Aal2PathId that has not been
+used by another Aal2PathDistributionUnit under the same parent (Aal2Ap).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ <parameter name="aal2Ap">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="getRpuForAal2Pdu">
+ <description>This action returns a sequence of all ReliableProgramUniters that has not reached the maximun number of possible reservations
+and has not already been used by other Aal2PathDistributionUnit MO's (if exists) under the same parent (Aal2Ap MO).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ <parameter name="aal2Ap">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="getUnreservedPluginUnits">
+ <description>This action returns a sequence of PlugInUnits that is unreserved.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ <action name="getDs0BundlesForMtp2">
+ <description>This action returns a sequence of Ds0Bundle MOs that is unreserved and only has one (1) timeSlot set in the listOfTimeSlots.
+The attribute tdmMode in these Ds0Bundles must be enabled.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="Slot">
+ <description>The Slot MO is used to represent a slot in a subrack. A slot can hold a plug-in-unit, a board, (represented by PlugInUnit MOs).
+
+The number of slots in a subrack is specified when defining the subrack.
+
+The system will automatically create the right number of Slot MOs in the subrack at creation of the Subrack MO.
+This MO cannot be deleted by an operator.
+
+The local distinguished names of this MO's are select with identity Slot=1 in the leftmost slot with incremental numbering towards the right in the subrack.. </description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>A user friendly name associated to the managed object
+
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="slotState">
+ <description>Gives the state of the slot.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="SlotState">
+ <defaultValue>free</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="slotNumber">
+ <description>The number of the position from the left side, starting from 1.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long/>
+ </dataType>
+ </attribute>
+ <attribute name="SlotId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="productData">
+ <description>This product data describes the plug-in-unit that is presently inserted. The information is only available when slotState = used.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OperProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="upgradeGroupId">
+ <description>The upgradeGroupId is able to group a number of PIUs, all in the group can be upgraded at the same time.
+
+If upgradeGroupTypeName is "", this attribute is not used.
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="upgradeGroupTypeName">
+ <description>The upgradeGroupTypeName, together with the upgradeGroupId, define an upgrade group.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ManagedObject">
+ <description>The Managed Object MO is not part of the model. It is only used to have valid reference types for certain attributes. </description>
+ <attribute name="ManagedObjectId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="getType">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="getId">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="getParent">
+ <returnType>
+ <moRef name="ManagedObject"/>
+ </returnType>
+ </action>
+ <action name="getChildren">
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="getFullDistinguishedName">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="delete">
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="MoHasChildrenException"/>
+ <raisesException name="MoReservedByOtherUserException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="UndeletableMoException"/>
+ <raisesException name="TransactionRequiredException"/>
+ </action>
+ <action name="getLocalDistinguishedName">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="create">
+ <returnType>
+ <moRef name="ManagedObject"/>
+ </returnType>
+ <raisesException name="MoNameAlreadyTakenException"/>
+ <raisesException name="AttrMissingAtCreateException"/>
+ <raisesException name="AttrNotSettableAtCreateException"/>
+ <raisesException name="IllegalParentException"/>
+ <raisesException name="MoCardinalityViolationException"/>
+ <raisesException name="NoSuchAttributeException"/>
+ <raisesException name="MoCanNotBeCreatedException"/>
+ <raisesException name="NoSuchFieldException"/>
+ <raisesException name="NotHandledAttributeTypeException"/>
+ <raisesException name="WrongAttributeTypeException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="TransactionRequiredException"/>
+ <raisesException name="IpException"/>
+ <raisesException name="IpFormatException"/>
+ <raisesException name="EquipException"/>
+ <raisesException name="AttrValueNotUniqueException"/>
+ <raisesException name="MaxNumberExceededException"/>
+ <raisesException name="MoReservedNotDeletableException"/>
+ </action>
+ </class>
+
+ <class name="VclTp">
+ <description>This MO is used to represent the termination of an external VC link in the node.
+
+Note that a maximum of 10 000 MO of this kind may be configured in a node.
+
+Note! The performance monitoring counters in the VclTp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="externalVci">
+ <description>Virtual Channel Idenitifier, VCI, value for this Virtual Channel Link, VCL.
+
+Range: 32 - 65535.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>32</min> <max>65535</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="atmTrafficDescriptorId">
+ <description>Reference to the AtmTrafficDescriptor profile.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="AtmTrafficDescriptor"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>User that have reserved this VclTp.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the VclTp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmReceivedAtmCells">
+ <description>Performance monitoring counter for number of received ATM cells.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTransmittedAtmCells">
+ <description>Performance monitoring counter for number of transmitted ATM cells.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the VclTp.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="VclTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="eteLoopBack">
+ <description>Used by VclTp to inforce a Virtual Channel end-to-end loopback.
+false=Failed, true=Succeded.
+
+Note! This action requires a transaction.
+
+</description>
+ <returnType>
+ <boolean/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="ManagedElement">
+ <description>The Managed Element MO is the top MO in the MOM. A management application will communicate with the Managed Element MO for the purpose of monitoring it and controlling it.
+
+The ManagedElement MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="site">
+ <description>This attribute gives information about geographical location (e.g. place name).</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>255</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="logicalName">
+ <description>This attribute gives a logical name of a site.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>255</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productType">
+ <description>This attribute describes the product type and is always set to the value "Node".</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>Node</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productName">
+ <description>This attribute describes the implemented functionality. </description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>12</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productNumber">
+ <description>This attribute uniquely identifies the product (e.g. ROF123).</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>24</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productRevision">
+ <description>This attribute identifies the version of the product (e.g. R2A).</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>7</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ManagedElementId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Restart the node with an unconditional reload.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="restartRank">
+ <in/>
+ <dataType>
+ <enumRef name="RestartRank">
+ </enumRef>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="Equipment">
+ <description>This Managed Object is used as a structural element for the network element, i.e. it only acts as a container for other MO:s. This MO structures the equipment of the network element.
+
+The local distinguished name of this MO is ManagedElement=1, Equipment=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="EquipmentId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Subrack">
+ <description>This MO is used to represent a subrack.
+</description>
+ <attribute name="userLabel">
+ <description>A free tag that a user can associated to the this managed object. The value has no relevance for the managed system.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="switchModule">
+ <description>A reference to a SwitchModule ManagedObject, from which the SwitchModule number can be retrived. That number describes how the subrack is connected to the node, i.e. how the subracks cables are connected to the hub subrack. (The hub subrack has switch module number 0).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="SwitchModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="subrackType">
+ <description>There can only be one hub subrack in the node. The hub subrack is used to control switching and synchronization for the complete node.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="SubrackType">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="productType">
+ <description>This attribute describes the product type and is always set to the value "HW".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>"HW"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeProductData">
+ <description>This product data describes the subrack that is configured to be installed.
+
+</description>
+ <mandatory/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="subrackPosition">
+ <description>The position of this subrack within a cabinet.
+The purpose of this attribute is to help an operator locate the source of an error by letting the system put this
+information in alarms. The system does not use this information in any other way i.e. it does not affect the
+operation of the system.
+The subrack position is an integer (1-n) stating the cabinet Id, starting from the left and a letter (A-Z) stating
+the Subrack vertical position starting from the ground, e.g. 5C.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="cabinetPosition">
+ <description>The position of the cabinet (within a group of other cabinets) in which this subrack is placed.
+The purpose of this attribute is to help an operator locate the source of an error by letting the system put this information in alarms. The system does not use this information in any other way i.e. it does not affect the operation of the system.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SubrackId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="numberOfSlots">
+ <description>Defines the number of slot in the subrack. The default value is 28.
+
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>28</max>
+ </range>
+ <defaultValue>28</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalProductData">
+ <description>The operational product data describes the subrack that is actually installed.
+
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OperProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>A reference to the SwAllocation MO that has reserved this Subrack for Fan MO upgrades..
+The attribute is set/cleared by internal actions.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <action name="removeSlots">
+ <description>Removes the given number of Slot MOs from the model. The Slots with the highest slotNumber are removed. After the action no Slot will have apn = 0.
+The attribute numberOfSlots will be udated.
+
+If there is a child MO the action will fail and the MOHasChildrenException is thrown.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="numberOfSlotsToRemove">
+ <description>The number of slots to remove.</description>
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="LoadModule">
+ <description>This MO is used to represent software installed in the file system.
+
+LoadModule MO can represent files with executable code, files with text information
+(COI) or directories in Cello file system.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.
+
+Note! In order for a program to be reliable the following conditions must be fulfilled:
+
+1. The attribute 'loaderType' must be set to 'OseLoader'.
+2. The attribute 'oseProgramLoadClass' must be set to a value &gt; 100.
+3. The program must execute on a MP (Main Processor).
+
+</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productData">
+ <description>Product information data.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="loadModuleFilePath">
+ <description>The path to this load module in the file system, including the file name.
+
+Example: /c/load_modules/CXC112874R1A01
+where /c/load_modules/ is the directory and CXC112874R1A01 is the file name.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="loaderType">
+ <description>The type of the program loader.
+
+Note! This corresponds to LoaderName in the Upgrade Control File (UCF).</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="LoadModuleLoaderType">
+ <defaultValue>OseLoader</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="otherLoaderName">
+ <description>This attribute must have a value if the loaderType attribute equals other.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="preLoad">
+ <description>A recommendation to the system to (if possible) cache this load module close to where its program(s) execute(s), e.g. in a flash file system. The purpose of this attribute is to enable faster loading at restart of programs referring to this load module.
+
+
+
+
+</description>
+ <dataType>
+ <enumRef name="LoadModulePreLoadType">
+ <defaultValue>no</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="fileFormat">
+ <description>The file format (RPDOUT, html, java, fpga, ...).</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="oseProgramLoadClass">
+ <description>Specifies in which load phase programs of this load module should be started.
+
+Note: If the LoadModule is a java archive file (.jar) it will be loaded in the load phase of the java machine rather than according to its own oseProgramLoadClass.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="LoadClass">
+ <defaultValue>application</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reliableProgramLabel">
+ <description>Reliable program identity.
+Compare this to the reliableProgramLabel in ReliableProgramUniter MO.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="isDirectory">
+ <description>Indicates if the load module is a file or directory.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="oseProgramPoolSize">
+ <description>This attribute sets the size of the program pool size. In the referenced loadModule there is
+possible to set this value at create.
+This value will then be fetched by program and used at create if no value is submitted at
+create of the program Mo.
+The referenced load module has a default size defined at compile time which is used if no
+value is submitted at create of loadModule or the program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Unit is byte.
+
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="oseProgramHeapSize">
+ <description>This attribute sets the size of the program heap size. In the referenced loadModule there is possible to set this value at create. This value will then be fetched by program and used at create if no value is submitted at create of the program Mo.
+The referenced load module has a default size defined at compile time which is used if no value is submitted at create of loadModule or the program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Unit is byte.
+
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="programMustBeSingleton">
+ <description>Indicator whether the (OSE) program must be a singleton or not.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="moppletEntries">
+ <description>Contains all class that are to be initialised and started when the JVM restarts.
+Technical note: The class name must specify the full package and class name in standard Java syntax, e.g. "se.ericsson.cello.X" ; must implement the se.ericsson.cello.moframework.Mopplet interface and be found in this LoadModule.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <string>
+ <defaultValue/>
+ </string>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="LoadModuleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByUpgradePackage">
+ <description>Indicates whether this MO has been reserved by the UpgradePackage MO or not.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Synchronization">
+ <description>This MO is used to administer synchronization references for the network synchronization function and to view the system clock state of Timing Unit boards.
+
+Synchronization can have 0 to 8 relations to other MOs. The only valid MOs where relations can exist are E1Physpathterm, J1Physpathterm, T1Physpathterm, Os155SpiTtp, TU Synchronization Reference, and ManagedObject (for the non-Cello RXI820 MO) MOs. Note that maximum number of relations to these MOs is 8 all together.
+
+The synchronization MO is automatically created and cannot be deleted.
+
+Note: An external ManagedObject that wishes to be connected to the Synchronization MO must implement the following interface se.ericsson.cello.equipment.SyncReservable!
+
+The local distinguished name of this MO is ManagedElement=1,TransportNetwork=1,Synchronization=1
+
+.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="degradationIsFault">
+ <description>Controls whether synchronization reference degradation (e.g. signal level degradation) is interpreted as a synchronization reference fault or not. Attribute value is only applicable for traffic carrying synchronization references</description>
+ <dataType>
+ <enumRef name="FaultCriteria">
+ <defaultValue>degrNotFault</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="systemClockA">
+ <description>State of system clock signal on Timing Unit A.
+Note that system clock generated by TU A (TU in slot 4) has higher priority than TU B (TU in slot 5) system clock, i.e. each device board uses system clocks according to this priority order.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="ClockState">
+ <defaultValue>unknownMode</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="systemClockB">
+ <description>State of system clock signal on Timing Unit B. Note that system clock generated by TU A (TU in slot 4) has higher priority than TU B (TU in slot 5) system clock, i.e. each device board uses system clocks according to this priority order.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="ClockState">
+ <defaultValue>unknownMode</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="syncReference">
+ <description>Set of synchronization reference physical resources. An MO reference to an TU synchronization reference MO implementation.
+A synchronization reference in added to the system by using action AddSyncRefResource.
+Note: if this attributes has value "Non-existing MO reference" then all the respective attributes of type sequence of 8 are not applicable.
+
+Note: The length of this sequence is always 8.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ <length>8</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="syncRefPriority">
+ <description>Synchronization reference priority.
+1 represents the highest and 8 the lowest priority. Every synchronization reference must have unique priority value. Value 0 means not applicable, that no synchronization with this priority is defined.
+This attribute is mandatory when adding a synchronization reference to the system (by using action addSyncRefResource).
+A priority value can be changed with the changeSyncRefPriority action provided that the priority is not already assigned to some other SyncRefResource.
+
+Note: The length of this sequence is always 8.</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long>
+ <range>
+ <min>0</min> <max>8</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ <length>8</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="syncRefActivity">
+ <description>Set of synchronization reference activity attributes.
+
+Note: The length of this sequence is always 8.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <enumRef name="RefActivity">
+ <defaultValue>inactive</defaultValue>
+ </enumRef>
+ <length>8</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="syncRefStatus">
+ <description>Set of synchronization reference status attributes.
+
+Note: The length of this sequence is always 8.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <enumRef name="RefState">
+ <defaultValue>failed</defaultValue>
+ </enumRef>
+ <length>8</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SynchronizationId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="systemClockRedundancy">
+ <description>Indicates if the node is redundant from Network Synchronization functions point of view.</description>
+ <dataType>
+ <enumRef name="SystemClockRedundancy">
+ <defaultValue>SYSTEM_CLOCK_USERS_USE_PLANE_A</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="addSyncRefResource">
+ <description>This action adds one synchronization reference to the system. As a result of successful action, one set of attributes (attributes of type sequence of 8) is added to Synchronization MO.
+Parameters:
+syncReference: Managed object implementation, to which a mo-reference is to be added. See description of attribute SyncReference.
+syncPriority: Priority of synchronization reference. Each synchronization reference must have unique priority value, this must be checked by synchronization MO.
+
+Description of exceptions:
+- AlreadyRegistered: this reference is already registered
+- MaxNoOfRefRegistered: maximum number (8) of synchronization references already present
+- PriorityAlreadyInUse: syncPriority is identical with an existing synchronization reference priority
+- PriorityOutOfRange: invalid syncPriority value
+- InvalidMoReference: invalid syncReference for registration as a synchronization reference (invalid MO type)
+- NotPermitted: syncReference does not allow SyncReserve to be performed
+
+Note: An external ManagedObject that wishes to be connected to the Synchronization MO must implement the following interface se.ericsson.cello.equipment.SyncReservable!
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <parameter name="syncPriority">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="AlreadyRegisteredException"/>
+ <raisesException name="MaxNoOfRefRegisteredException"/>
+ <raisesException name="PriorityAlreadyInUseException"/>
+ <raisesException name="PriorityOutOfRangeException"/>
+ <raisesException name="InvalidMoReferenceException"/>
+ <raisesException name="NotPermittedException"/>
+ </action>
+ <action name="removeSyncRefResource">
+ <description>This action removes one synchronization reference that has previously been added.
+(In future releases, this action should include a check whether the synchronization reference to be removed is the only existing synchronization reference. In that case, the user is asked for a confirmation to remove the last synchronization reference.)
+Parameters:
+syncReference: Managed object implementation, to which a mo-reference currently exists, but is now to be removed. See description of attribute SyncReference.
+
+Description of exceptions:
+- NotRegistered: synchronization reference is not registered
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <raisesException name="NotRegisteredException"/>
+ </action>
+ <action name="changeSyncRefPriority">
+ <description>This action changes the synchronization priority of one previously registered synchronization reference resource.
+Parameters:
+syncReference: Managed object implementation, for which the synchronization priority is to be changed. See description of attribute syncReference.
+syncPriority: The new priority of synchronization reference. Each synchronization reference must have unique priority value, this must be checked by synchronization MO.
+
+Description of exceptions:
+- PriorityAlreadyInUse: syncPriority is identical with an existing synchronization reference priority
+- PriorityOutOfRange: invalid syncPriority value
+- NotRegistered: synchronization reference is not registered
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <parameter name="syncPriority">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="PriorityAlreadyInUseException"/>
+ <raisesException name="PriorityOutOfRangeException"/>
+ <raisesException name="NotRegisteredException"/>
+ </action>
+ <action name="resetLossOfTracking">
+ <description>This action resets the detected Loss of Tracking error condition of one synchronization reference. After reset the synchronization reference is usable again.
+Parameters:
+syncReference: Specifies the synchronization reference for which the Loss of Tracking status is to be reset.
+
+Description of exceptions:
+- NotRegistered: synchronization reference is not registered
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <raisesException name="NotRegisteredException"/>
+ </action>
+ </class>
+
+ <class name="SccpApLocal">
+ <description>This Managed Object represents an SCCP Local Access Point. An SCCP AP instance is a logical point in the SCCP network at which an SCCP user can access the services provided by the SCCP and the SCCP can deliver its services to the SCCP user. The SCCP Access Point is identified by a SubSystem Number (SSN).
+
+A local SccpAp represents an access point in the own node.
+
+The performance monitoring counters found in SccpApLocal MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a shutdown request from the CLI (Cluster Interface).</description>
+ <attribute name="SccpApLocalId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SCCP AP. The operability of the SCCP AP is described by this attribute which has two possible values:
+
+Disabled: The SCCP AP is totally inoperable and unable to provide the service to the user.
+
+Enabled: The SCCP AP is partly or fully operable and available for use.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="ssN">
+ <description>The sub system number, SSN, of the SCCP Access Point. Note that this has to be a unique number.
+
+If the SccpAp is local then the SSN has to be unique among all other SccpAp MOs under the same SccpSp MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxConn">
+ <description>The maxConn attribute specifies the max number of connections allowed for this SCCP Access Point. It is only valid for local SCCP Access Points.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>524288</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="useS1">
+ <description>Controls adding of OPC from routing label to Calling Party Address if absent.
+
+False = Do not add OPC to Calling Part Address.
+True = Add OPC to Calling Part Address.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpSp">
+ <description>The Signalling Connection Control Part, SCCP, Signalling Point, SP, MO is the placeholder for all SCCP related managed objects.
+
+Startime for accounting is set by attributes accountDateFileOutput and accountTimeFileOutput.
+The attribute accountPeriodFileOutput sets period for the accounting record to be stored on the /c file system.
+The accounting is stopped by setting the accountPeriodFileOutput to zero (0).
+NOTE: The SRP function and SccpAccountingCriteria MO's should only be created for one signalling stack, i.e one PIU.
+
+Note! The guiding values that can be found for some attributes is to be regarded as a recommendation for these attributes.
+Depending on the size of the network, traffic load, use and so forth it might be neccesary to set values for these attributes outside the guiding values.</description>
+ <attribute name="SccpSpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SCCP SP. The operability of the SCCP SP is described by this attribute which has two possible values:
+
+Disabled: The SCCP SP is totally inoperable and unable to provide the service to the user (e.g. if the processor is not usable).
+
+Enabled: The SCCP SP is partly or fully operable and available for use.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bSpId">
+ <description>The identity of the L3 Signaling Point used by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="prioSST">
+ <description>This attribute sets the priority for the Subsystem Test message when issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+Coding of the message priority:
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioIT">
+ <description>This attribute sets the priority for the Inactivity Test message when issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+Coding of the message priority:
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioRLSD">
+ <description>This attribute sets the priority for the connection release message when issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+Coding of the message priority:
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioGeneral">
+ <description>This attribute sets the priority for all the other messages which are issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+
+Coding of the message priority:
+
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="accountDateFileOutput">
+ <description>Date for accounting file output.
+Format in ASCI-code: yyyymmdd
+yyyy = 0000-9999
+mm = 01-12
+dd = 01-31
+
+Note! This is the start date for the output.</description>
+ <dataType>
+ <string>
+ <defaultValue>"00000000"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="accountTimeFileOutput">
+ <description>Time for accounting file output.
+Format in ASCI-code: hhmm
+hh = 00-23
+mm = 00-59</description>
+ <dataType>
+ <string>
+ <defaultValue>"0000"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="accountPeriodFileOutput">
+ <description>Period for accounting file output.
+Format: number of half hour.
+Range = 0, 1, 2, 4, 6, 8, 12, 16, 24, 48
+
+Note! if set to 0 (zero) the output will be stopped.</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="upperConnThres">
+ <description>The upper connection threshold allow the user to specify the level at which the upper connection threshold notification is triggered. The thresholds must be given as a percentage of the maximum number of connection resources made available for the entire SCCP.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="lowerConnThres">
+ <description>The lower connection threshold allow the user to specify the level at which the lower connection threshold notification is triggered. The thresholds must be given as a percentage of the maximum number of connection resources made available for the entire SCCP. The value must be less then UpperConnThres.
+
+The maximum value is less or equal to the upper connection threshold</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="swapUDTPointer">
+ <description>There are two ways to construct a UDTS from a UDT, the XUDTS will be constructed in the same way. One way is to only swap the pointers to Called Party Address and Calling Party Address in a UDT message when constructing a UDTS. The other way is to swap data. How this is done in the SCCP is configurable. The coding and interpretation is as follows:
+0, swap data Called party Address will be sent before Calling party Address
+1, swap pointers Called party Address will be sent after Calling party Address.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hopCounterSclc">
+ <description>The SCLC hop counter is a parameter that is decremented for each performed GT translation for SCLC messages on the way to the end destination. If decrementing the counter results in the value of zero in a non-end destination node, the SCCP initiates the appropriate error procedure.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>15</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hopCounterScoc">
+ <description>The SCOC hop counter is a parameter that is decremented for each performed GT translation for SCOC messages on the way to the end destination. If decrementing the counter results in the value of zero in no end destination node, the SCCP initiates the appropriate error procedure.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>15</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tconnEst">
+ <description>Connection establishment control timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 600 - 1200, Default 600
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tIas">
+ <description>Send inactivity control timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 3000-6000
+Default 3000</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>3000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tIar">
+ <description>Receive inactivity control timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 6600-12600
+Default 6600</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>6600</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tRel">
+ <description>Connection release timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 100-200
+Default 100</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tCong">
+ <description>Congestion timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 10-2550
+Default 300</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tStatInfo">
+ <description>Subsystem Status Test timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 10-2550
+Default 300</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tconnResp">
+ <description>Connection response waiting timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 100-200
+Default 150</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>150</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tReass">
+ <description>Reassembly timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 100-200
+Default 150</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>150</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tGuard">
+ <description>Guard timer for program restart not applicable for this CPP version. Instead a restart counter is used to improve restart performance.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 13800-15000 (23-25 minutes)
+Default 1380 (23 minutes)
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>13800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="smiValue">
+ <description>The SMI (Subsystem Multiplicity Indicator) is always ignored for incoming messages. This SCCP can however be configured to insert a specific SMI value in outgoing messages. The coding and interpretation is as follows:
+
+Coding Comment
+ 0 | Affected Subsystem multiplicity unknown.
+ 1 | Affected Subsystem is solitary.
+ 2 | Affected Subsystem is duplicated.
+ 3 | Spare.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="useSCMG">
+ <description>The use of SCMG functions.
+
+SST = SCCP Subsystem Test message.
+SSA = SCCP Subsystem Allowed message.
+SSP = SCCP Subsystem Prohibited message.
+SSC = SCCP Subsystem Congested message.
+
+The attributes contained in the struct is:
+initiateTimerTcon,
+allowRemoteBroadcast,
+useSST,
+useSSP,
+sendSSA,
+sendSST
+
+</description>
+ <dataType>
+ <structRef name="Scmg"/>
+ </dataType>
+ </attribute>
+ <attribute name="maxRelayedConn">
+ <description>Connection Oriented SCCP Signaling Relay Point (SRP-CO) and Maximum number of Relayed Connections is not used in this CPP version.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>524288</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTSent">
+ <description>Performance monitoring counter for number of sent UDT's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTRec">
+ <description>Performance monitoring counter for number of received UDT's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTSSent">
+ <description>Performance monitoring counter for number of sent UDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTSRec">
+ <description>Performance monitoring counter for number of received UDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTSent">
+ <description>Performance monitoring counter for number of sent XUDT's.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTRec">
+ <description>Performance management counter for number of received XUDT's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTSSent">
+ <description>Performance monitoring counter for number of sent XUDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTSRec">
+ <description>Performance monitoring counter for number of received XUDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCRSent">
+ <description>Performance monitoring counter for number of sent CR's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCRRec">
+ <description>Performance monitoring counter for number of received CR's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDT1Sent">
+ <description>Performance monitoring counter for number of sent DT1's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDT1Rec">
+ <description>Performance monitoring counter for number of received DT1's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfERRSent">
+ <description>Performance monitoring counter for number of sent ERR's.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfERRRec">
+ <description>Performance monitoring counter for number of received ERR's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSubsysAllowedSent">
+ <description>Performance monitoring counter for number of sent SSA's, subsystem allowed.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCREFRecFromNL">
+ <description>Performance monitoring counter for number of CREF messages received from NL.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCREFSentToNL">
+ <description>Performance monitoring counter for number of CREF messages sent to NL.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRLSDRecFromNL">
+ <description>Performance monitoring counter for number of RLSD messages received from NL.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRLSDSentToNL">
+ <description>Performance monitoring counter for number of RLSD messages sent to NL.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfConInUseExceedHighWaterMark">
+ <description>Performance monitoring counter for number of connections in use that has exceeded the high watermark threshold.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfConInUseReceededLowWaterMark">
+ <description>Performance monitoring counter for number of connections in use that has receded the low watermark threshold.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLUDTRec">
+ <description>Performance monitoring counter for number of received LUDT messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLUDTSSent">
+ <description>Performance monitoring counter for number of sent LUDTS messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpScrc">
+ <description>The Signalling Connection Control Part Routing Control (SCCP SCRC) MO holds information about the SCCP routing control.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SccpScrcId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailure">
+ <description>Performance monitoring counter for number of routing failures.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfConnectFailure">
+ <description>Performance monitoring counter for number of connect failures.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfHopCounterViolation">
+ <description>Performance monitoring counter for number of Hop counter violations.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailNoTransAddrOfSuchNature">
+ <description>Performance monitoring counter for number of routing failures due to no translation for Nature of Address field.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailNoTransSpecificAddr">
+ <description>Performance monitoring counter for number of routing failures due to no translation of specific address.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailurePointCodeUnAvail">
+ <description>Performance monitoring counter for number of routing failures due to destination point code not available.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailNetworkCongest">
+ <description>Performance monitoring counter for number of routing failures due to network congestion.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailSubsysUnavail">
+ <description>Performance monitoring counter for number of routing failures due to destination subsystem unavailable.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailReasonUnknown">
+ <description>Performance monitoring counter for number of routing failures due to unknown reason.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailUnequippedSubsys">
+ <description>Performance monitoring counter for number of routing failures due to unequipped subsystem.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Program">
+ <description>The Program MO represent the executable software on the target hardware.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.
+
+Note! Some programs are not possible to restart or can take part in an RPU. For more information please refer to the LoadModule MO.
+
+</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="loadModule">
+ <description>This attribute gives information about which load module the program is instantiated from.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="LoadModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute shows whether the program has normal performance capability or not.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="startState">
+ <description>This attribute shows whether the program is permitted to enter operational state enabled or not after a PIU restart. The default state is disabled in order to avoid that an operating program and a new version of the same program have its startState enabled at the same time.
+
+It is used on all types of node restarts and restart of PlugInUnit with restartCause = piuRestartUpgrade.</description>
+ <dataType>
+ <enumRef name="StartState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="heapSize">
+ <description>This attribute sets the size of the program heap size.
+
+The value in the referenced LoadModule is fetched by program and used at create if no value is submitted at create of the program MO.
+
+The referenced load module has a default size defined at compile time wich is used if no value is submitted at create of loadModule or the program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.
+
+Note: Setting of this attribute will have no effect until the program is restarted.</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="poolSize">
+ <description>This attribute sets the size of the program pool size. In the referenced LoadModule there is possible to set this value at create.
+
+The value in the referenced LoadModule is fetched by program and used at create if no value is submitted at create of the program MO.
+
+The referenced load module has a default size defined at compile time wich is used if no value is submitted at create of
+LoadModule or the Program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Only working for MP-Program
+
+Unit is bytes.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.
+
+Note: Setting of this attribute will not have any effect until the program is restarted.
+
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="restartTimer">
+ <description>This type specifies the time that a program have to execute without faults, until the restart counter is reset. The time value is interpreted as seconds.
+
+restartTimer= -1 : Forever, implies that the restart counter is only reset when the PIU is restarted.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>-1</min>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="restartCounterLimit">
+ <description>This attribute specifies the number of times that a program can restart, without escalation to a PIU restart. The possible values are:
+
+restartCounterLimit = -1 : Unlimited, means never restart PIU, regardless of the number of times the specific program restarts.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.
+
+Note! The value 3 means 3 restarts, hot, warm and finally as a last resort PIU restart.
+
+Note! The program escalates to a PIU restart when the number of restarts reaches the value set for this attribute.
+I.e. if for example the value is set to 5, escalation to PIU restart will occur at the fifth restart.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>-1</min>
+ </range>
+ <defaultValue>3</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ProgramId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Request to restart the program.
+Only valid for MP programs.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="UniSaalProfile">
+ <description>The UniSaal Profile MO represent and keeps attribute values used by one or more UNI SAAL termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="UniSaalTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>See structure UniSaalProfileData</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="UniSaalProfileData"/>
+ </dataType>
+ </attribute>
+ <attribute name="UniSaalProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="UniSaalTp">
+ <description>The MO represents an access point for a user where a UNI SAAL connection is terminated. The access point depends
+on an AAL5 connection termination located on the same processor.
+
+UniSaalTp can only terminate on a Mp processor.
+
+Note! The performance monitoring counters found in NniSaalTp MO is set to zero when the Mo and its RO is created.
+These counters are not reset unless there occurs a fault situation that demands a restart and recreation of the ROs.
+Also note that some of these counters are reset based on other conditions. For more details please refer to the
+descriptions of the performance monitoring counters in this MO.</description>
+ <attribute name="UniSaalTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the UniSaalTp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="uniSaalProfileId">
+ <description>Reference to a UniSaalProfile MO.
+
+The maxStat attribute defined in the UniSaalProfile MO is dependent on the AAL5 SDU size defined in the Aal5TpVccTp MO. See the description of the maxStat attribute in the UniSaalProfile MO.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="UniSaalProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpId">
+ <description>Reference to an Aal5TpVccTpMo.
+
+Note: The Aal5 termination point must terminate on a main processor, MP.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="maxSduSize">
+ <description>Maximum SAAL SDU size. The attribute is dependent on the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The 'maxSduSize' may not exceed the AAL5 maximum SDU size (the lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+
+Example: If 'fromUserMaxSduSize' is set to 256 and 'toUserMaxSduSize' is set to 512 in the Aal5TpVccTp MO, the ' maxSduSize' in the UniSaalTp MO may not exceed 252.
+
+(i.e. maxSduSize &lt;= the aal5MaxSduSize - 4 bytes)
+
+Note! When setting the value of 'maxSduSize' the 4 bytes used by the SAAL layer for the SAAL header has to be considered.
+
+Note! The upper limit of 2044 (2048 including the SAAL header) is due to limitations on the AAL5 layer.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>128</min> <max>2044</max>
+ </range>
+ <defaultValue>128</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSentSDUs">
+ <description>Number of successfully sent SDUs.
+Counts the number of successfully sent messages to the
+application using SAAL. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReceivedSDUs">
+ <description>Number of successfully received SDUs.
+Counts the number of successfully received messages from
+ the application using SAAL. Reset when the link goes
+ InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLinkInServiceTime">
+ <description>The accumulated time (in seconds) the signalling link has
+ been in service (in assured data transfer mode) since it was created. If the link is down the value 0 is returned.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAllSLFailures">
+ <description>Number of all Signalling Link failures.
+Is a total sum of the error counters:
+ - Number of protocol errors
+ - Number of unsuccessfully retransmissions
+ - Number of NoResponses
+ - Number of other errors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNoResponses">
+ <description>Number of no response. This counter is increased when SSCOP (Service Specific Connection Oriented Protocol)
+send an MaaError with error code P.
+For details refer to ITU-T Q.2110 - B-ISDN AATM Adaptation Layer - Service Specific Connection Oriented Protocol (SSCOP); (7/94).
+Counts the number of no responses detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalCongestions">
+ <description>Number of local congestions.
+This counter is increased when the sum of SAAL send and retransmission buffers are filled to more than 90%.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRemoteCongestions">
+ <description>Number of remote congestions.
+This counter is increased when the remote side gives SAAL no credit. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSequenceDataLosses">
+ <description>Number of sequences data loss.
+Counts the number of SD loss detected the last 30 minutes</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfProtocolErrors">
+ <description>Number of unsolicited or inappropriate PDUs. This counter is increased when SSCOP (Service Specific Connection Oriented Protocol)
+send an MaaError with error code Q.
+For details refer to ITU-T Q.2110 - B-ISDN AATM Adaptation Layer - Service Specific Connection Oriented Protocol (SSCOP); (7/94).
+Counts the number of protocol errors detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccReTransmissions">
+ <description>Number of unsuccessful retransmissions.
+Counts the number of unsuccessfully retransmissions detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOtherErrors">
+ <description>Number of other list element errors. This counter is increased when SSCOP (Service Specific Connection Oriented Protocol)
+send an MaaError with error code Q-U.
+For details refer to ITU-T Q.2110 - B-ISDN AATM Adaptation Layer - Service Specific Connection Oriented Protocol (SSCOP); (7/94).
+Counts the number of other errors detected the last 30 minutes. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="E1PhysPathTerm">
+ <description>This MO represents an E1 (i.e. 2 Mbit/s) physical interface in the node. The E1PhysPathTerm MO does not define which type of traffic (TDM or ATM) that the interface should support.
+Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+Note! The performance monitoring counters in the E1PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>This attribute describes the current Loopback State of this physical path termination.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="E1PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="crc4Mode">
+ <description>Indicates what Cyclical Redundancy Check 4 Mode that is being used.</description>
+ <dataType>
+ <enumRef name="Crc4Mode">
+ <defaultValue>AUTOMATIC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="degDegThr">
+ <description>The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="degDegM">
+ <description>How many bad seconds in a row that causes a Degraded Signal alarm.
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="J1PhysPathTerm">
+ <description>This MO represents an J1 (i.e. 1.5 Mbit/s) physical interface in the node. The J1PhysPathTerm MO does not define which type of traffic (TDM or ATM) that the interface should support.
+Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+The performance monitoring counters in the J1PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <attribute name="J1PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="physicalLineType">
+ <description>Supported interfaces is defined in J1Types</description>
+ <dataType>
+ <enumRef name="J1Type">
+ <defaultValue>j1_G</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="degThreshold">
+ <description>Maximum allowed BER(Bit Error Rate). Degraded signal (DEG) if BER &gt; degThreshold. The attribute gives a maximum BER of 10e-X, where X ranges from 5 to 9 (default 6)
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>3</min> <max>9</max>
+ </range>
+ <defaultValue>6</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter for Errored Seconds, ES.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Severly Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal0TpVccTp">
+ <description>This MO is used to represent the point in the node where an AAL0 connection is terminated. At this point the user exchange SDUs with its peer at the remote end of the AAL0 connection.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.
+
+</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="processorId">
+ <description>This attribute specifies on what processor the termination point resides. It could either be a board processor or main processor (MO type PlugInUnit), or a SP processor (MO type Spm).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational State reflects the operational state of the end points and the belonging node connections.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>The virtual channel to which this MO is termination.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="Aal0TpVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second.
+
+Activates VC end to end continuityCheck according to ITU.T rec I.610.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlkSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (i.e. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for forwarded lost cells.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forwarded missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forwarded errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal5TpVccTp">
+ <description>This MO is used to represent the point in the node where an AAL5 connection is terminated. At this point the user exchanges SDUs with its peer at the remote end of the AAL5 connection.
+
+Note! The 'mtuSize' on the IpAtmLink MO does not include 8 bytes required for the LLC/SNAP header and this should be taken into consideration when setting the SduSize on the Aal5TpVccTp MO.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0..</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="processorId">
+ <description>This attribute specifies on what processor the protocol is terminated. It could either be a board processor or main processor (PlugInUnit) or a SP processor (Spm).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>The virtual channel to which this MO is termination.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational State reflects the operational state of the end points and the belonging node connections.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="fromUserMaxSduSize">
+ <description>The maximum SDU size in number of octets received from the user (called maxIngressSduSize in the FRO domain).
+
+The allowed range is dependent on the processorType.
+SP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+BP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+MP:LowestMaxSduSize =1, HighestMaxSduSize=2048</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="toUserMaxSduSize">
+ <description>The maximum SDU size in number of octets sent to the user (called maxEgressSduSize in the FRO domain). This attribute is controlled by the processor type.
+
+The allowed range is dependent on the processorType.
+SP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+BP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+MP:LowestMaxSduSize =1, HighestMaxSduSize=2048</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the action reserve from a user of Aal5TpVccTp. It could either be a Cello user like IpAtmLink/UniSaalTp or a node application.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="Aal5TpVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second. Continuity check both enables generation of CC cells as well as detection of LOC
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlkSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.
+</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (i.e. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for number of lost forward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="AtmPort">
+ <description>The AtmPort MO is used to configure a physical port for ATM traffic.
+ATM can be transported accross the physical layer in three distinct and different ways:
+
+- Normal mode
+
+An AtmPort MO is created with a reference to physical layer object (any of E1PhysPathTerm,
+J1PhysPathTerm, T1PhysPathTerm, E3PhysPathTerm, T3PhysPathTerm, Sts3CspeTtp, E1Ttp, T1Ttp or Vc4Ttp).
+The payload of that physical layer object is used to transport the ATM traffic.
+
+- Fractional ATM mode
+
+An AtmPort MO is created with a reference to a Ds0Bundle object. The Ds0Bundle specifies
+in this case the timeslots on the physical layer (any of E1PhysPathTerm,J1PhysPathTerm,
+T1PhysPathTerm, T1Ttp or E1Ttp) that should be used for ATM traffic. The remaining timeslots
+are not used at all.
+I.e. when fractional ATM is used only one Ds0Bundle can exist as a child to a physical port MO.
+
+- IMA mode
+
+In IMA mode, a number of physical ports are grouped together to form one "virtual" physical
+ATM pipe. In this mode the AtmPort MO is created with a reference to an IMAGroup MO.
+Please refer to the ImaGroup MO for further details.
+
+Note! The performance monitoring counters in the AtmPort MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again..
+
+
+</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="AtmPortId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the ATM port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the AtmPort.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmTransmittedAtmCells">
+ <description>Performance monitoring counter for number of transmitted ATM cells through the ATM port. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmReceivedAtmCells">
+ <description>Performance monitoring counter for number of received ATM cells through the ATM port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="valueOfLastCellWithUnexp">
+ <description>This attribute returns the ATM header, (vpi, vci, pti), of the last received unexpected cell.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <structRef name="ValueOfUnex"/>
+ </dataType>
+ </attribute>
+ <attribute name="uses">
+ <description>Reference to the physical port, IMA Group or Ds0Bundle used by the ATM port.
+
+The possible MOs are:
+E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E3PhysPathTerm, T3PhysPathTerm, Sts3CspeTtp, Sts1SpeTtp, Vc4Ttp, E1Ttp, T1Ttp, Ds0Bundle and ImaGroup.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmSecondsWithUnexp">
+ <description>Performance monitoring counter for errored seconds with discarded cells due to protocol errors (unexpected, UNEX, events).
+
+I.610: With protcol errors is meant the reception of cells with either a non-standardized or a non-currently allocated header value.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hecCorrectionMode">
+ <description>Header Error Correction.
+
+This attribute specifies if the AtmPort should detect and correct single bit errors or detect multiple bit errors in the ATM header.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bAp">
+ <description>This MO represents an MTP3b Access Point (in a remote node). An MTP3b AP is a logical point in the MTP network at which an
+MTP3b user can access the services provided by MTP3b and the MTP3b can deliver its services to the MTP3b user.
+
+The performance monitoring counters found in Mtp3Ap MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetId">
+ <description>The routeSetId attribute points out the MTP3b Signalling Route Set (SRS) to which the Mtp3bAp MO is connected. </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bSrs"/>
+ </dataType>
+ </attribute>
+ <attribute name="serviceInd">
+ <description>The serviceInd attribute (Service Indicator, SI) is used by traffic handling functions to perform message distribution.
+Only SI=3 for SCCP usage and SI=12 for Q.2630.1 usage and SI=14 for GCP (H.248) usage have any meaning in this case.
+
+Full range of values for attribute SI:
+0 = Signalling network management messages
+1 = Signalling network testing &amp; maintenance regular messages
+2 = Signalling network testing &amp; maintenance special messages*
+3 = SCCP
+4 = Telephone User Part (TUP)
+5 = ISDN User Part (ISUP)
+6 = Data User Part, call and circuit related messages
+7 = Data User Part, facility registration and cancellation messages
+8 = MTP Testing User Part
+9 = Broadband ISDN User Part
+10 = Satellite ISDN User Part
+11 = Spare
+12 = Q.2630.1
+13 = Spare
+14 = H.248
+15 = Spare
+
+Note! The serviceIndicator has to be unique for each Mtp3bAp witin the same Mtp3bSrs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute determines the operational status of the MTP3b AP .
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp3bApId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUserPartUnavailRec">
+ <description>A performance management counter for number of received User Part Unavailable messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAdjacentSPNotAccessible">
+ <description>Performance management counter for number of Adjacent SP's that are not accessible via direct links.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="NniSaalProfile">
+ <description>The NniSaal Profile MO represents and keeps attribute values used by one or more NNI SAAL termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of NniSaalTps that have reserved this NniSaalProfile.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="NniSaalTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>See structure NniSaalProfileData.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="NniSaalProfileData"/>
+ </dataType>
+ </attribute>
+ <attribute name="NniSaalProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="NniSaalTp">
+ <description>The MO represents an access point for a user where a NNI SAAL connection is terminated. The access point depends
+on an AAL5 connection termination located on the same processor.
+
+NniSaalTp can only terminate on a Mp processor.
+
+Note! The performance monitoring counters found in NniSaalTp MO is set to zero when the Mo and its RO is created.
+These counters are not reset unless there occurs a fault situation that demands a restart and recreation of the ROs.
+Also note that some of these counters are reset based on other conditions. For more details please refer to the
+descriptions of the performance monitoring counters in this MO.</description>
+ <attribute name="NniSaalTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the NniSaalTp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="nniSaalProfileId">
+ <description>Reference to a NniSaalProfile MO.
+
+The maxStat attribute defined in the NniSaalProfile MO is controlled by the AAL5 SDU size defined in the Aal5TpVccTp MO. See the description of the maxStat attribute in the NniSaalProfile MO.
+
+Note! The consistency check of the attributes in the two MOs mentioned above could not be performed until the NniSaalTp MO was created since there is no direct relation between the objects. It is therefore the responsibility of NniSaalTp to verify the consistency.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="NniSaalProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpId">
+ <description>Reference to a Aal5TpVccTp MO.
+
+Note: The Aal5 termination point must terminate on a main processor, MP.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="maxSduSize">
+ <description>Maximum SAAL SDU size. The attribute is dependent on the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The 'maxSduSize' may not exceed the AAL5 maximum SDU size (the lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+
+Example: If 'fromUserMaxSduSize' is set to 256 and 'toUserMaxSduSize' is set to 512 in the Aal5TpVccTp MO, the ' maxSduSize' in the NniSaalTp MO may not exceed 252.
+
+(i.e. maxSduSize &lt;= the aal5MaxSduSize - 4 bytes)
+
+Note! When setting the value of 'maxSduSize' the 4 bytes used by the SAAL layer for the SAAL header has to be considered.
+
+Note! The upper limit of 2044 (2048 including the SAAL header) is due to limitations on the AAL5 layer.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>128</min> <max>2044</max>
+ </range>
+ <defaultValue>128</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSentSDUs">
+ <description>Number of successfully sent SDUs.
+Counts the number of successfully sent messages to the
+application using SAAL. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReceivedSDUs">
+ <description>Number of successfully received SDUs.
+Counts the number of successfully received messages from
+ the application using SAAL. Reset when the link goes
+ InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLinkInServiceTime">
+ <description>The accumulated time (in seconds) the signalling link has
+ been in service (in assured data transfer mode) since it was created. If the link is down the value 0 is returned.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAllSLFailures">
+ <description>Number of all Signalling Link failures.
+Is a total sum of the error counters:
+ - Number of protocol errors
+ - Number of unsuccessfully retransmissions
+ - Number of NoResponses
+ - Number of other errors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNoResponses">
+ <description>Number of no response.
+Counts the number of no responses detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalCongestions">
+ <description>Number of local congestions.
+This counter is increased when the sum of SAAL send and retransmission buffers are filled to more than the congestionOnSet attribute is configured to..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRemoteCongestions">
+ <description>Number of remote congestions.
+This counter is increased when the remote side gives SAAL no credit. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAlignmentFailures">
+ <description>Number of alignment or proving failures. This counter is
+increased when "alignment not successful".
+The counter is reset when the link is created or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSequenceDataLosses">
+ <description>Number of sequences data loss.
+Counts the number of SD loss detected the last 30 minutes</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfProtocolErrors">
+ <description>Number of unsolicited or inappropriate PDUs.
+Counts the number of protocol errors detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccReTransmissions">
+ <description>Number of unsuccessful retransmissions.
+Counts the number of unsuccessfully retransmissions detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOtherErrors">
+ <description>Number of other list element errors.
+Counts the number of other errors detected the last 30 minutes. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="AtmTrafficDescriptor">
+ <description>This MO specifies traffic and QoS parameters for virtual channel connections.
+
+The attributes can only be set in certain combinations:
+
+CBR:
+ingressAtmQos /egressAtmQos:
+1, 2
+
+ingressAtmPcr /egressAtmPcr:
+mandatory (must be specified)
+
+ingressAtmMcr /egressAtmMcr:
+optional (not used, any value submitted will be ignored)
+
+UBR+:
+ingressAtmQos /egressAtmQos:
+3
+
+ingressAtmPcr /egressAtmPcr:
+optional (if shaping is used the desired rate is specified, 0 means no shaping)
+
+ingressAtmMcr /egressAtmMcr:
+mandatory (must be specified)
+
+UBR:
+ingressAtmQos /egressAtmQos:
+4
+
+ingressAtmPcr /egressAtmPcr:
+optional (not used, any value submitted will be ignored)
+
+ingressAtmMcr /egressAtmMcr:
+optional (not used, any value submitted will be ignored)
+
+Note that the VP sub layer only supports ServiceCategory = CBR and QoS = 1.
+
+Note! The performance monitoring counters in the AtmTrafficDescriptor MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <attribute name="AtmTrafficDescriptorId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>This MO's user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ingressAtmPcr">
+ <description>Ingress ATM Peak cell rate (cells/s).
+
+Only positive values are allowed. This attribute is only mandatory when serviceCategory is CBR or UBR_PLUS.
+
+Note! When 'serviceCategory' is set to UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! When 'serviceCategory' is set to UBR+ the value 0 (zero) means that shaping is not used. A value larger than the minimum desired cell rate enables shaping to the rate specified.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ingressAtmQos">
+ <description>Ingress ATM quality of service.
+
+This attribute can be set to Class 1 and 2 if attribute serviceCategory is set to CBR.
+
+This attribute can be set to Class 3 if attribute serviceCategory is set to UBR+.
+
+This attribute can be set to Class 4 if attribute serviceCategory is set to UBR.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AtmQos">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="egressAtmPcr">
+ <description>Egress ATM Peak cell rate (cells/s).
+
+Only positive values allowed.
+
+This attribute is only mandatory when serviceCategory is CBR or UBR_PLUS.
+
+Note! When 'serviceCategory' is set to UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! When 'serviceCategory' is set to UBR+ the value 0 (zero) means that shaping is not used. A value larger than the minimum desired cell rate enables shaping to the rate specified.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="egressAtmQos">
+ <description>Egress ATM quality of service.
+
+This attribute can be set to Class 1 and 2 if attribute serviceCategory is set to CBR.
+
+This attribute can be set to Class 3 if attribute serviceCategory is set to UBR+.
+
+This attribute can be set to Class 4 if attribute serviceCategory is set to UBR.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AtmQos">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Sequence of Users that are using this AtmTrafficDescriptor.
+
+
+</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="egressAtmMcr">
+ <description>Egress ATM minimum desired cell rate.
+
+Only positive values allowed. The attribute is only mandatory when serviceCategory is UBR+.
+
+Note! When 'serviceCategory' is set to CBR or UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! This attribute cannot have a value larger than for 'egressAtmPcr'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ingressAtmMcr">
+ <description>Ingress minimum desired cell rate (cells/s).
+
+Only positive vaues allowed. This attribute is mandatory only when serviceCategory is UBR+.
+
+Note! When 'serviceCategory' is set to CBR or UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! This attribute cannot have a value larger than for 'ingressAtmPcr'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="serviceCategory">
+ <description>The service category.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="ServiceCategory">
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSrs">
+ <description>This MO represents/models a MTP3b Signalling Route Set.
+
+The performance monitoring counters found in Mtp3Srs MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).</description>
+ <attribute name="Mtp3bSrsId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Mtp3bSrs MO.
+
+There are two possible states for the operationalState attribute:
+
+- enabled, the MO is partly or fully operational.
+- disabled, the MO is not operational.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the local action Reserve.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="autoReroute">
+ <description>This flag indicates Whether automatic rerouting is performed(true) or not(false), between IP and ATM/TDM
+routes when configuration is made. If set to 'false', it has to be done manually, for ex. by management actions(orders).
+
+Note! The attribute 'autoReroute' will always be set to 'false' regardless of value submitted. This attribute is to be removed in coming releases.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="destPointCode">
+ <description>The destPointCode attribute (destination point code, DPC) represents the point code of this Mtp3b SRS MO.
+The Destination Point Code in a Route Set has to be unique within each Signaling Point.
+
+Note! The format of destPointCode must correspond to the signallingPointCode format in the Mtp3bSp, i.e. ITU, ANSI, TTC or China.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16777215</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="congestedLevel">
+ <description>There are two possible states for the congestedLevel attribute:
+
+- notCongested, no change in congestion level.
+- congested, change in congestion level has occurred.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bCongestLevel">
+ <defaultValue>notCongested</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDiscardedMsgFromBroadToNarrow">
+ <description>Performance management counter for number of messages discarded from broadband to narrowband.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTransferControlledRec">
+ <description>Performance monitoring counter for number of received Transfer Control (TFC) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTransferProhibitedRec">
+ <description>Performance monitoring counter for number of received Transfer Prohibited (TFP) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTransferAllowedRec">
+ <description>Performance monitoring counter for number of received Transfer Allowed (TFA) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="routeSetTestAnyRoute">
+ <description>The route set test is only available for the Japanese Mtp3b, TTC.
+
+See JT-Q.707 for details.
+
+The test selects a route in the route set and tests it.
+
+Possible return codes are:
+
+0 : successful test
+48 : unsuccessful test
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="routeSetTestSpecificRoute">
+ <description>The route set test is only available for the Japanese Mtp3b, TTC.
+
+See JT-Q.707 for details.
+
+The test tests the specified route.
+
+Possible return codes are:
+
+0 : successful test
+48 : unsuccessful test
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <parameter name="routeId">
+ <in/>
+ <dataType>
+ <moRef name="Mtp3bSr"/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="PlugInUnit">
+ <description>This MO is used to represent a plug-in-unit, that is a board inserted in a slot in a subrack.
+
+Note! Before deleting the PlugInUnit MO, administrativeState must be set to locked.</description>
+ <attribute name="PlugInUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>A free tag that a user can associated to the this managed object. The value has no relevance for the managed system.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the PlugInUnit.
+
+The possibility to rollback the setting of this attribute is limited.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state is set to 'enabled' when the plug-in-unit has loaded and started its 'Basic' load module.</description>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="productType">
+ <description>This attribute describes the product type and is always set to the value "HW".</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>"HW"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="piuType">
+ <description>A reference to an available PiuType at create of a PIU.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PiuType"/>
+ </dataType>
+ </attribute>
+ <attribute name="piuGroupNumber">
+ <description>Indicates which restart group the plug-in unit belongs to.
+Such a group consists of a number of plug-in units where at least one must be enabled (working) or performing recovery. When all plug-in units in a group are out of function, the node is restarted.
+
+Must have a positive value.
+
+Zero indicates no group.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65536</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. It is a bit mapped CORBA long, where one or more of the bits may be set. If none of the bits are set then the availability status is considered to be undefined.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of managed objects depending on PlugInUnit</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmProcessorLoad">
+ <description>The measurement is done over 100 ms, and returns the sum of load on processes up to, and including process priority 23. The sum is expressed in %
+
+Supported on MP, BP and SP boards.
+
+In case of SP boards the returned processor load is the load of the BP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="allowedSeqRestarts">
+ <description>The number of automatic (do not require intervention from a human operator) sequential restarts that are allowed for a PIU. When this number of automatic restarts has been exceeded, the automatic restarting halts and the PIU is faulty marked.
+
+The time limit between two restarts is 5 minutes. This means that if the time between two restarts
+is less then 5 minutes the restarts are counted, otherwise the restart counter is reset.
+
+For example, 3 means 3 restarts with time limit &lt; 5 minutes are accepted but when the 4:th occurs within 5 minutes the board is considered faulty and an alarm is generated.</description>
+ <dataType>
+ <enumRef name="SeqRestarts">
+ <defaultValue>RESTARTS_WITH_WARM</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>
+Note! The restartCause can have the following values:
+1 = restart error
+2 = restart upgrade
+
+Note! The restartCause piuRestartUpgrade overrides the restartRank value and gives mainly restartRank=warm (or restartRank=refresh in some upgrade situations.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="restartRank">
+ <in/>
+ <dataType>
+ <enumRef name="RestartRank">
+ </enumRef>
+ </dataType>
+ </parameter>
+ <parameter name="restartCause">
+ <in/>
+ <dataType>
+ <enumRef name="RestartCause">
+ </enumRef>
+ </dataType>
+ </parameter>
+ <raisesException name="ValueOutOfRangeException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSls">
+ <description>This MO represents the SS7 Signalling Link Set as defined in Recommendation Q.704 Ver. 3, April 28 1992 (ITU) T1.111-1996 (ANSI), JT-Q.704 Ver. 3, April 28 1992 (TTC) or GF001-9001 1990 (China).
+
+It provides information about a set of signalling links between an OPC/Adjacent PC pair. A link set is constructed from between 1 to16 Signalling Links (for China, Itu, Ttc and the ANSI standard), all of which have the same two end points (signalling points) as the Signalling Link Set. A Signalling LInk Set may be part of many routes.</description>
+ <attribute name="Mtp3bSlsId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Use label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP3B SLS.
+
+The operability of the MTP3B SLS is described by the operationalState attribute which has two possible values:
+
+- Enabled: The MTP3B SLS is partly or fully operable and available for use. At least one of the belonging links (SL) is enabled.
+- Disabled: The MTP3B SLS is totally inoperable and unable to provide service to the user.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>The usageState reflects the usage state of the links in the link set. There are three possible values:
+
+- Active: At least one of the belonging SLs of the SLS is Active and no link is Busy.
+- Busy: If one of the belonging links is Busy.
+- Idle: All SLs of the SLS are Unavailable.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="periodicLinkTestFlag">
+ <description>The periodicLinkTestFlag indicates if periodic sending of SLTM/SLTA messages are used or not. The SLTM message will be sent on every link which is "aligned" at an interval of (SLTM timer). The answer, SLTA is supposed to arrive within (SLTA timer). If this doesnt happen, or if the received SLTA doesnt match the sent SLTM a second SLTM will be sent. If this SLTM also fail then the link will be considered 'out-of-service' and a restart of that link will begin.
+
+ANSI: true is default.
+ITU: true is default.
+China: true is default.
+TTC: false is default.
+
+Note that TTC does not use this feature.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bSrsId">
+ <description>The mtp3bSrsId attribute points out the corresponding MTP3b Signalling Route Set MO (adjacentDPC).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bSrs"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp3bSr"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="deactivateLinkSet">
+ <description>This action deactivates a link set, which means the containing signalling links are taken out of service.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="activateLinkSet">
+ <description>A linkset containing signalling links which are all out of service, is called a deactivated linkset. In order to start up the signalling links and get them into service, there is an Activate Link Set action, or rather, there are two similar actions for activation of a link set.
+
+If the action fails to activate a link the ActivationFailed exception is raised.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="emergencyActivateLinkSet">
+ <description>This action activates the signalling link with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSr">
+ <description>This MO represents a MTP3b Signalling Route. A Signalling Route is used to convey MTP3b User messages from a origin SP to a destination SP (Signalling Point). This MO is multiple instansiated and is contained in the MO MTP3b Signalling Route Set. A Signalling Route is from a network point of view the sequence of link sets from a originating signalling point to a destination signalling point.
+
+Note! At least one signalling route in the signalling route set must have priority = 1.
+If lower priorities are defined the next level must be priority=2. (e.g. routes with priority =1 and =3 in a route set will not work).
+
+Note! Signalling Routes belonging to the same route set with the same priority must have the same type of bearer (Broad Band or Narrow Band).</description>
+ <attribute name="Mtp3bSrId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="linkSetM3uId">
+ <description>The MTP3b Signalling Link Set (MTP3bSls) or M3uAssociation to which the MTP3bSr MO is connected.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state notification.There are two possible states for the operationalState attribute:
+- enabled, possible to perform operations on the MTP3b SR.
+- disabled, not possible to perform operations on the MTP3b SR.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+-active, route is active.
+-busy, route is congested.
+-idle, route not in use.
+
+(idle=0, active=1, busy=2)
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="priority">
+ <description>The priority of the alternative route. The traffic is directed to the highest priority route(s) available in the route set. If more than one route has the same priority within a route set then loadsharing is permitted between these routes.
+The value 1 is considered as the highest priority.</description>
+ <mandatory/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>5</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeType">
+ <description>The type of the route.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bRouteType">
+ <defaultValue>TDM_ATM</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="RouteBlockingState">
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="RouteState">
+ <defaultValue>NOT_BLOCKED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSecondsAccumulatedRouteUnavailable">
+ <description>The number of seconds (accumulated) route unavailable.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="blockSignalingRoute">
+ <description>This action blocks the Signaling Route.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deBlockSignalingRoute">
+ <description>This action deblocks the Signaling Route.
+
+Note! This action requires antransaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="IpSystem">
+ <description>The IP System MO constitutes the top structure level for IP related MOs in a Cello node.
+
+The IpSystem MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, IpSystem=1.
+</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>An operator defined label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="IpSystemId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="ping">
+ <description>Ping - used to call a host or router to find out if it is present in the network. The following input parameter is used by the Ping action:
+The domain name or IP address to the host that shall be called.
+
+The following parameter is returned:
+Ping result: 'IP address/domain name' - is alive or no answer.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <string/>
+ </returnType>
+ <parameter name="host">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="traceRoute">
+ <description>Trace route - used to get information about the route through the IP network that is used for a destination with a specific IP address.
+
+The following input parameter is used by the trace route action:
+The domain name or IP address to a host that shall be trace-routed.
+
+The following parameter is returned:
+Trace result - list of IP addresses/domain names or path not found.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <string/>
+ </returnType>
+ <parameter name="host">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="IpAtmLink">
+ <description>This MO represents an Internet Protocol over ATM link. LLC/SNAP encapsulated packets over Atm Adaptation Layer 5, AAL5, ATM PVCs are used.
+
+Note: The performance monitoring counters in the IpAtmLink MO has a "Wrap-around time" of approximately 2 hours.
+
+Note! When setting the 'fromUserMaxSduSize' and 'toUserMaxSduSize' on the Aal5TpVccTp MO the defined SduSize should be 8 bytes larger then the 'mtuSize' on the IpAtmLink.
+
+Note! Only Aal5TpVccTp's that terminates on an MP (Main Processor) may be used when setting up IpAtmLink's.</description>
+ <attribute name="IpAtmLinkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>The User Label attribute gives the operator the possibility to label the links.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the IP over ATM link is dependent of the operational states of the underlying AAL5 termination points that are used to transport IP datagrams. If at least one operational AAL5 termination point (MO: AAL5 TP VCC TP) exists, the operational state of the IP over ATM Link is set to "ENABLED"</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. It is a bit mapped CORBA long, where one or more of the bits may be set. If none of the bits are set then the availability status is considered to be undefined.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>If set, indicates that this IpAtmLink is reserved by the OspfInterface represented by this attribute.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="OspfInterface"/>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="subnetMask">
+ <description>The attribute subnetMask states the subnet mask of the IP over ATM link (point to point link).
+
+The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+For a point to point link, the subnetmask 255.255.255.252 is very likely.
+
+Note! The subnet mask has to be contiguos. That is, it has the LSB's set to 0 (zero).
+
+Example:
+
+255.255.255.X
+
+Contiguous subnet mask:
+11111111.11111111.11111111.11111110 (X = 254)
+11111111.11111111.11111111.11111100 (X = 252)
+
+Not-contiguous subnet mask:
+11111111.11111111.11111111.11111010 (X = 250)
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="mtuSize">
+ <description>The attribute mtuSize states the maximum IP datagram size that may be sent on the IP over ATM link in bytes, without fragmentation. Recommended MTU size is 1500 bytes to prevent IP fragmentation.
+
+Note that the size of the IP datagram does not include the 8 Bytes added for the LLC/SNAP header before putting the IP datagram in AAL5 SDU.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>576</min> <max>2040</max>
+ </range>
+ <defaultValue>1500</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="metric">
+ <description>The attribute metric states the metric "cost" of the IP over ATM link. The metric value is calculated as 10^8 /link bit speed.
+
+For example:
+Metric for 10 Mbps Ethernet = 10^8/10^7 = 10
+Metric for 100 Mbps Ethernet = 10^8/10^8 = 1</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>32767</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="monitor">
+ <description>This attribute indicates whether InvATMARP monitor is turned on or off.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="monitorInterval">
+ <description>The interval between sending monitor packets.
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>60</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="monitorRetries">
+ <description>The number of retries when sending monitor packets.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="interfaceName">
+ <description>The interface name.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpSelectionMode">
+ <description>The selection mode for the Aal5TpVccTp's used by the IpAtmLink MO. Determines how the IpAtmLink MO selects the Aal5 termination points used.
+
+In case 'NO_PREFERENCE', 'LOCAL_MP_SELECT_VCC_AT_LINK_UP', LOCAL_MP_PEER_SELECTION_VCC' or 'LOCAL_MP_ACTIVE_RESELECTION' is selected the IpAtmLink MO will prefer Aal5TpVccTp on local MP (i.e. Aal5TpVccTp MOs executing on the same MP as the IpAtmLink), but other Aal5TpVccTp will be accepted if needed.
+
+In case 'ONLY_LOCAL_MP' is selected, the ipAtmLink (Inet Link) will consider Aal5TpVccTp residing on other MPs as a malfunction and discard the usage of them. No InvArp sending will be performed on them and all incomming trafic on them will be discarded. If no local Aal5TpVccTp exists the operational state of the link will be changed to disabled.
+
+ </description>
+ <dataType>
+ <enumRef name="Aal5TpSelectionModes">
+ <defaultValue>NO_PREFERENCE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Aal5TpVccTpIdList">
+ <description>References to MOs of type Aal5TpVccTp representing the underlying AAL5 termination points (of a AAL5 PVC:s) for the IP over Atm link.
+
+The operator gives a list of relative distinguished names of the Aal5TpVccTp MO's to be used by the IP over ATM link.
+An Aal5TpVccTp MO may only be specified once in the list.
+
+To change in the set of Aal5TpVccTp MO's related to the IP over ATM link the link has to be deleted and then created with the desired set of AAL5 TP.
+
+Note! Only Aal5TpVccTp's that terminates on an MP (Main Processor) may be used when setting up IpAtmLink's.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal5TpVccTp"/>
+ <length>4</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInUcastPkts">
+ <description>Performance monitoring counter for the number of input unicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInNUcastPkts">
+ <description>Performance monitoring counter for the number of input broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutUcastPkts">
+ <description>Performance monitoring counter for the number of out unicast packets deliverred to higher layer</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutNUcastPkts">
+ <description>Performance monitoring counter for the number of output broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInErrors">
+ <description>Performance monitoring counter for the number of input packets discarded due to any error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInDiscards">
+ <description>Performance monitoring counter for the number of input packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfifOutDiscards">
+ <description>Performance monitoring counter for the number of outbound packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="assignIpAddress">
+ <description>This action sets the IP address and the subnet mask.
+
+The changing of the IP address with the operation assignIpAddress, it might cause an interruption of the communication between the node and the network management tool. If an incorrect or erroneous IP address is configured, the communication with the node might be lost until the node is restarted or the IP address is changed to the correct value.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="subnetMask">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="EthernetLink">
+ <description>The Ethernet Link MO represents the Internet Protocol over Ethernet link. There is only one Ethernet link MO per Cello node.
+
+The changing of the IP address with operation assignIpAddress might cause interruption of the communication if the network management tool is connected via the ethernet link.
+
+Note: The EthernetLink MO can not be deleted!
+
+Note: The performance monitoring counters in the EthernetLink MO has a "Wrap-around time" of approximately 2 hours.
+</description>
+ <attribute name="userLabel">
+ <description>The User Label attribute gives the operator the possibility to label the links.
+
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The attribute ipAddress states the IP address of the Ethernet link, it is also the IP address for the O&amp;M host..
+
+The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+It must not be a broadcast or multicast address.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="subnetMask">
+ <description>The attribute subnetMask states the subnet mask of the Ethernet link.
+
+The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+
+Note! The subnet mask has to contiguos. That is, it has the LSB set to 0 (zero).
+
+Example:
+
+255.255.255.X
+
+Contiguous subnet mask:
+11111111.11111111.11111111.11111110 (X = 254)
+11111111.11111111.11111111.11111100 (X = 252)
+
+Not-contiguous subnet mask:
+11111111.11111111.11111111.11111010 (X = 250)
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="broadcastAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <mandatory/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="mtuSize">
+ <description>The IP layer expects the Ethernet link to have an MTU size (maximum Ethernet frame size without fragmentization) of 1500 bytes.
+
+The value is always the default value.
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1500</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="metric">
+ <description>The attribute metric states the metric of the Ethernet link. The metric value is calculated as 10^8/link bit speed.
+
+For example:
+Metric for 10 Mbps Ethernet = 10^8/10^7 = 10
+Metric for 100 Mbps Ethernet = 10^8/10^8 = 1</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>32767</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ethernet link is dependent of the operational states of the underlying MAU:s that represents the Ethernet transceiver function. If at least one operational MAU exists, the operational state of the Ethernet Link is set to "ENABLED"</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="interfaceName">
+ <description>The interface name.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="macAddress">
+ <description>The mac address is persistently stored in flash prom on the MP where the IP stack resides. It is stored there at manufacturing. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ <length>6</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>If set, indicates that this EthernetLink is reserved by the OspfInterface MAO represented by this attribute.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="OspfInterface"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="EthernetLinkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInUcastPkts">
+ <description>Performance monitoring counter for the number of input unicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInNUcastPkts">
+ <description>Performance monitoring counter for the number of input broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutUcastPkts">
+ <description>Performance monitoring counter for the number of out unicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInErrors">
+ <description>Performance monitoring counter for the number of input packets discarded due to any error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInDiscards">
+ <description>Performance monitoring counter for the number of input packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutNUcastPkts">
+ <description>Performance monitoring counter for the number of output broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfifOutDiscards">
+ <description>Performance monitoring counter for the number of outbound packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="assignIpAddress">
+ <description>This action sets the IP address and the subnet mask.
+
+The changing of the IP address with the operation assignIpAddress,
+causes an interruption of the communication between the node and
+the network management tool. If an incorrect or erroneous IP address
+is configured, the communication with the node might be lost until
+the node is restarted or the IP address is changed to the correct
+value.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="subnetMask">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="IpRoutingTable">
+ <description>This MO holds the IP routing table.
+
+The IpRoutingTable MO is automatically created when the Ip MO is created.
+The IpRoutingTable MO can not be created manually.
+The IpRoutingTable MO can not be deleted.
+</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="indexOfDeletableStaticRoutes">
+ <description>This attribute gives the indexes for the static routes that are possible to delete by the operator.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="IpRoutingTableId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="addStaticRoute">
+ <description>Used to add a new static route to the routing table. It can be
+indicated if the static route should be redistributed by OSPF.
+When adding a static route with an ipaddress, which is not a subnet
+address, the ipaddress is recalculated to the corresponding subnet
+address, based on the ipaddress and the subnet mask. So when looking
+for this route using the action 'getRoutingTableEntry' it is in fact
+the recalculated ipaddress, that is displayed and not the ip address
+entered with addStaticRoute. This is an automatic correction.
+
+Note! If an attempt is made to add a static route with hopIpAddress starting with 0.0 an exception will be thrown.
+
+Note! This action requires a transaction.
+
+
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddress">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="subnetMask">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="hopIpAddress">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="routeMetric">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="redistribute">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="listRoutes">
+ <description>List routes actions is used to list all routes in the routing table. It requires no input parameters.
+
+The output is a formatted string, indicating the number of routes in the table followed by route information for each of these routes.
+
+The number of routes in the table is found at the very start of the returned string. Immediately following this is the information on each route in the list in turn. The route information for each route begins with a new line character ("\n") and is followed by the following data items (in the order written below), which are separated from each other by a SPACE character:
+
+- Destination IP address
+- Destination Network mask
+- Next hop IP address/Interface index of connected networks in dot-decimal format
+- Route metric
+- Route type
+- Interface name
+- Redistribute
+- Active
+
+Note that the next hop ipaddress is not an ipaddress per se, it is the subnet on wich the host/router to route to resides.
+
+Below is an example list of routes that could be returned from this action:
+
+"\n123.123.123.123 234.234.234.234 0.0.0.7 10 13 LE0 FALSE active\n122.122.122.122 233.233.233.233 0.0.0.6 2 8 LE0 TRUE active\n132.132.132.132 243.243.243.243. 0.0.0.7 9 13 LE0 TRUE inactive"
+
+In this case, there are three routes in the routing table. The first route has destination IP address of 123.123.123.123, the second route has it set to 122.122.122.122, while finally the third has it set to 132.132.132.132.
+
+NOTE that the route types can be of the following types:
+
+INET_RTPROTO_OTHER 1
+INET_RTPROTO_LOCAL 2
+INET_RTPROTO_NETMGMT 3
+INET_RTPROTO_ICMP 4
+INET_RTPROTO_OSPF 13
+
+The types listed above also denote the origin of the routing entry.
+
+Note! This action does not require a transaction.
+</description>
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="getRoutingTableEntry">
+ <description>Returns a RoutingTableEntry according to the specified index.
+
+Should be used with action 'numberOfRoutes' within a transaction. To refresh the routing table stored in the MO, invoke the action 'numberOfRoutes'.
+
+Precondition: 0 &lt;= index &lt;= numberOfRoutes() - 1
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <structRef name="RoutingTableEntry"/>
+ </returnType>
+ <parameter name="index">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidIndexException"/>
+ </action>
+ <action name="numberOfRoutes">
+ <description>Returns the number of entries in the routing table.
+
+Each time this action is invoked, the MO retrieves routing table information from the resource layer and then builds a new array of RoutingTable structs to store this information.
+
+This action along with getRoutingTableEntry(), which allows one to iterate through the array stored in the MO, should be used together with a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="deleteStaticRoute">
+ <description>Removes the RoutingTableEntry submitted as a parameter from the Routing Table, if it is found in the table.
+
+Note that only static roues, previously added, can be deleted with this action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="routingTableEntry">
+ <in/>
+ <dataType>
+ <structRef name="RoutingTableEntry"/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Ip">
+ <description>The Ip MO represents the IP protocol layer with IP forwarding functionality.
+
+Note: The performance monitoring counters in the Ip MO has a "Wrap-around time" of approximately 2 hours.
+
+</description>
+ <attribute name="IpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="workingMode">
+ <description>The workingMode of the IP MO controls if the IP stack in the node will work as a combined host/router (routerMode) or only as a host (hostMode).</description>
+ <mandatory/>
+ <dataType>
+ <enumRef name="WorkingMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="retransInterval">
+ <description>The retransmission interval. The units are seconds.
+Must be a value &gt; 0.</description>
+ <dataType>
+ <long>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfRetrans">
+ <description>The number of retransmissions.
+Must be a value &gt; 0.</description>
+ <dataType>
+ <long>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="dnsServer">
+ <description>The Domain Name Server IP address.
+
+The IP address must be a Unicast IP address.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>"127.0.0.1"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="isRecursiveSearch">
+ <description>Holds information about how the DNS client wants the DNS server do the search for the IP address in the network . It can be either Recursive search or Non-recursive search.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="isSubDomainName">
+ <description>Holds information about whether to use subsets of the fully qualified domain name to reach the simple domain name. It can be either Use subsets of domain name or Not use subsets of domain name.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="isDefDomainName">
+ <description>Holds information about whether the default domain name is present. It can be Present or Not present.
+
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="defDomainName">
+ <description>Contains default domain name.
+If 'isDefDomainName' is set to 'true' the domain name found in this attribute is used by the DNS resolver and there is no need to submit the complete domain name. If the domain name is for example 'ws5741.uab.ericsson.se' then the default domain name would be '.uab.ericsson.se'. If 'isDefDomainName' is set to true the there is no need for using '.uab.ericsson.se'. If set to false then the complete domain name has to be used.
+A valid domain name consists of 1 or more labels separated by dots (".").
+Valid characters for a label shall be limited to letters 'a' - 'z', 'A' - 'Z', digits '0' - '9', the character '-' ("dash").
+Character case shall be preserved. Labels shall start with a letter. Total length of a label shall not exceed 255 characters.
+
+Note! The first and last character in the domain name has to be within the following alphabet: letters 'a' - 'z', 'A' - 'Z' and digits '0' - '9'. For more information refer to RFC 952 and 1101.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the IP MO is ENABLED if at least one link is operational.
+
+Shows whether the MO is OK (enabled) or failed (disabled). The values are set by the system.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="numberOfLinks">
+ <description>Represents the number of interfaces (Ethernet and IP over
+ATM links) which has registered to the IP MO.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>If set, indicates that this Ip is reserved by the Ospf represented by this attribute.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Ospf"/>
+ </dataType>
+ </attribute>
+ <attribute name="useHostFile">
+ <description>Indicates if the hosts file should be used or not. The hostFile is used by the resolver and
+chosen as a source of information before querying a DNS server.
+
+true - use the host file.
+false - do not use the host file.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="dscp">
+ <description>The DiffServ CodePoint.
+The code point values are used by DiffServ enabled hosts and router to identify different service levels.
+When set, the DSCPs are mapped to PHBs (Per Hop Behaviours) by forwarding devices along the path
+between the source and destination. PHBs dictates how each packet will be handled i.e. what type of
+service that is applied to the packet when it is forwarded.
+
+Note! Only traffic originated from the node is tagged with the dscp value. The Cello router does not implement functionality for handling different service levels when routing IP traffic.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>63</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="icmpRedirect">
+ <description>Indicates if ICMP redirects is sent out or not.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="udpChecksumState">
+ <description>Enable/Disable generation of UDP checksum.
+0 = disabled
+1 = enabled
+
+The UDP checksum is used for verifying that the UDP header has not been damaged during transmit.</description>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="connectionAttemptTimer">
+ <description>The time that elapses before a connection (TCP) attempt times out.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <defaultValue>75</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxRetransmissionAttempts">
+ <description>The maximum number of retransmission attempts before a TCP connection is dropped.
+The default value is 10 attempts, which is around 5 minutes.
+Setting this attribute value to -1 means infinity, and TCP will never give up in trying
+to establish a connection.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>-1</min>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpInReceives">
+ <description>Performance monitoring counter for total number of datagrams received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfHdrErrors">
+ <description>Performance monitoring counter for the number of datagrams discarded due to format error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpAddrErrors">
+ <description>Performance monitoring counter for the number of datagrams discarded due to misdelivery.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpForwDatagrams">
+ <description>Performance monitoring counter for the number of datagrams forwarded.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpInDiscards">
+ <description>Performance monitoring counter for the number of datagrams dascarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpOutDiscards">
+ <description>Performance monitoring counter for the number of datagrams discarded due to lack of resources.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpReasmReqds">
+ <description>Performance monitoring counter for the number of fragments received needing reassembly.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpReasmOKs">
+ <description>Performance monitoring counter for the number of datagrams successfully reassembled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpEntitySet">
+ <description>This MO represents an SCCP EntitySet which is made of one SCCP Entity. An SCCP Entity is a local MTP-SAP + a DPC + possibly an SSN, i.e an SCCP EntitySet identifies the set of access points that result from a global title translation. An SCCP EntitySet may also be made of two SCCP Entities of the same type (if an SSN is present in one SCCP Entity, then an SSN shall also be present in the other). In the latter case the two SCCP entities may be considered either as a "primary" SCCP Entity and a "backup" SCCP Entity (dupli-dominant mode) or may be interpreted as two equal SCCP entities that can be used for outgoing loadsharing purpose. In this implementation they can only be considered as "primary" and "backup".The Routing Indicator (RI) in the Called Party Address is normally not set by the SCCP for outgoing messages and should therefore be set by the user. This means that if the user has set "Route on SSN" this will be the case after the GTT also even if the set consist of MTP-3b Access Points. However, if the set consists of SCCP Access Points the RI is implicitly set to "Route on SSN" by SCCP.
+
+DPC Destination Point Code
+GTT Global Title Translation
+MTP Message Transfer Part
+SAP Signalling Access Point
+SSN Subsystem Number
+
+Note: the SccpEntitySet MO depends either on MAOs of type SccpApLocal OR SccpApRemote, not on a mixture of these MAO types.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="routeIds">
+ <description>The Entity Set routeId is an array of maximum two instances of Sccp ApLocal MO's OR two instances of SccpApRemote MO's OR two instances of Mtp3bAp MO's OR one instance of SccpSP MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ <length>2</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="sharingMode">
+ <description>The sharingMode attribute controls the distribution of SCCP traffic over the entities (Access Points) in the set.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="SharingMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="newSubSystemNumber">
+ <description>The new sub system number attribute is only valid if the Mtp3b Access Points are used in the set. In that case the SSN attribute allows to optionally set the subsystem number in the Called Party Address as a result of a Global Title Translation. If the set consists of SCCP Access Points the subsystem number in the Called Party Address is always implicitly set to the SCCP Access Point SAP (SSN) as a result of a Global Title Translation.
+This attribute is controlled by the type of AP(s) that the EntitySet refers to.
+
+The default value 0 means no new subsystem number.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>254</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="newGlobalTitle">
+ <description>The New Global Title attribute allows to optionally replace the global title in the Called Party Address as a result of a Global Title Translation (GTT). If the GT resulting from a GTT is different from the GT previously included in the Called Party Address, the newly produced GT replaces the existing one. The gtIndicator attribute is read-only and is derived from the combination of Nature Of Address, the Translation Type and Numbering Plan used.
+
+Default value is considered as no new Global Title.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="GlobalTitleData"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SccpEntitySetId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpGlobalTitle">
+ <description>The SCCP Global Title MO represents a combination of a global title translator and a global title rule. If no Destination Point Code (DPC) is present in the Called Party Address, a Global Title (GT) is needed. Then a global title must be present in the Called Party Address, otherwise a routing error occurs. A global title translator is selected by SCCP based on a combination of the values of the Nature Of Address (NA), the translation type (TT), and the Numbering Plan (NP) if available, in this MO matched with the same information in the Called Party Address provided by an SCCP user. A global title translation rule is selected by SCCP by matching the Global Title Address Information and possibly the Encoding Scheme in this MO, with the same information in the Called Party Address provided by an SCCP user. A global title translation rule points to the SCCP Entity Set resulting from the global title translation (GTT).
+The translation results in a DPC and possibly a new Sub System Number (SSN) or GT or both (decided by the SCCP Entity Set it refers to). If the GT and/or SSN resulting from a GTT is different from the GT and/or SSN previously included in the Called Party Address, the newly produced GT and/or SSN replaces the existing one.
+
+GT - Global Title
+SCCP - Signalling Connection Control Part
+SSN - Sub System Number
+DPC - Destination Point Code
+TT - Translation Type
+GTT - Global Title Translation</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="gtIndicator">
+ <description>Global title indicator for China, ITU, TTC and ANSI.
+
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="GlobalTitleIndicator">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="natureOfAddress">
+ <description>Indicates number type, i.e. national or international number.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NatureOfAddress">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="numberingPlan">
+ <description>Indicates which numbering plan that is used.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NumberingPlan">
+ <defaultValue>UNKNOWN</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="translationType">
+ <description>Used to direct the message to the appropriate Global Title translation function.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>254</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="addressInformation">
+ <description>Digit string of 1-37 digits, where each digit is 0-9, and "B", "C". "B" and "C" are for Code 11 and Code 12.
+The addressInformation may also contain wild card symbols: "*" or "?". Wild cards are only allowed for defining Global Title Translations, they are not allowed for specifying SCCP SAPs (built with SPC and Global Title or SPC + SSN + Global Title).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>37</max>
+ </lengthRange>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="encodingScheme">
+ <description>Indicates if the number of address signals are an odd or even number.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="EncodingScheme">
+ <defaultValue>UNKNOWN</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="sccpEntitySetId">
+ <description>The sccpEntitySetId attribute points out the corresponding SccpEntitySet MO.
+
+Note! This attribute is only optional if this MO is used by Policing. In all other cases it has to be set.</description>
+ <noNotification/>
+ <dataType>
+ <moRef name="SccpEntitySet"/>
+ </dataType>
+ </attribute>
+ <attribute name="SccpGlobalTitleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2PathVccTp">
+ <description>This MO is used to represent an AAL2 Path, i.e. an ATM VC connection that carries multiplexed AAL2 links.
+
+VCC TP - Virtual Channel Connection Termination Point.
+
+The total number of Aal2PathVccTps associated to an Aal2Ap, by connecting them to Aal2PathDistributionUnits under that Aal2Ap, must not exceed 632.
+
+The total number of Aal2PathVccTps associated to a ReliableProgramUniter, by connecting them to Aal2PathDistributionUnits associated to the ReliableProgramUniter, must not exceed 280.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.
+</description>
+ <attribute name="Aal2PathVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>Reference to the VclTp to be used by this Aal2PathVccTp.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the termination point. </description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of the termination point.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving Aal2PathDistribution MO.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of Aal2PathVccTp.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second.
+
+Activates VC end to end continuityCheck according to ITU.T rec I.610.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (ie. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlocksize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="timerCu">
+ <description>Timer that assures that CPS-packets with one or more octets already packed in the ATM cell wait at most the duration of timerCu before being scheduled for transmission.
+
+Units for timerCu is 10 to the power of -4 seconds. This value can be set in steps of 10 to the power of -4.
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aal2PathId">
+ <description>This attribute is used to identify the path in the Q.2630.1 protocol.
+
+The pathId value must be unique within all Aal2PathDistributionUnits under the same Aal2Ap
+
+All integers &gt; 0 are allowed (not 0).
+
+The Aal2PathId is used by the Aal2 connection control function in two Aal2 nodes to uniquely identify an AAL2 path between the two nodes. This means that the value of the attribute Aal2PathId for an Aal2 path must be the same in each of the two nodes.
+
+In order to set this attribute, the Aal2PathVccTp may not be reserved. If an attempt is made, an "IsReservedCanNotSetPathId" exception is thrown.
+
+Note! Setting of this attribute will not have any effect until 'actionAddPath' in Aal2PathDistributionUnit MO' is called adding this MO to the list of Aal2PathVccTp MO's.</description>
+ <mandatory/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2147483647</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aal2PathOwner">
+ <description>This attribute indicates whether this Aal2 Path VCC Termination Point is considered the owner of the Aal2 Path in order to decide channel selection procedure in the Q.2630.1 protocol.
+
+Note! In order to set this attribute, the Aal2PathVccTp may not be reserved. If an attempt is made, an "IsReservedCanNotSetPathId" exception is thrown.
+
+Note! The administrativeState has to be LOCKED when this attribute is set, if not the "IsUnlockedCanNotSetPathOwner" exception is thrown.
+
+Note! Setting of this attribute will not have any effect until 'actionAddPath' in Aal2PathDistributionUnit MO' is called adding this MO to the list of Aal2PathVccTp MO's.</description>
+ <mandatory/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aal2QoSProfileId">
+ <description>The identity of the QosProfile used by the Aal2PathVccTp.</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="Aal2QosProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal2QoSAvailableProfiles">
+ <description>This attribute specifies which QoSClass that can be supported by this Aal2Path.
+</description>
+ <dataType>
+ <enumRef name="SupportedQoSClasses">
+ <defaultValue>CLASS_A_B_C_D</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for forwarded lost cells.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forwarded missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forwarded errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2RoutingCase">
+ <description>This MO represents a AAL2 routing case which points out an AAL2 access point. </description>
+ <attribute name="Aal2RoutingCaseId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="routeList">
+ <description>An array of alternative routes identified by the concerned Aal2Ap MOs. A routeList can have 0 to 5 Aal2Aps.
+The Aal2Ap must be unique.
+Note! if this attribute is set, the routePriorityList also must be se with the same number of values ( if the routeList
+contains 3 routes, the routePriorityList must contain 3 prioritys).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2Ap"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="routePriorityList">
+ <description>A list of all the Aal2Ap priorities.
+The priority values can be in the range 1 to 5, one beeing the highest priority.
+The Aal2Aps can have the same priorities.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <long>
+ <range>
+ <min>0</min> <max>5</max>
+ </range>
+ </long>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="numberDirection">
+ <description>Defines the possible set of AAL type 2 Service Endpoint Addresses (A2EA) that match the routing case.
+
+The number direction of a routing case shall be interpreted as all AAL type 2 Service Endpoint Addresses
+starting with the string of numbers defined in the number direction. For example, the AAL2 End System
+Addresses "12340" and "123400" will both match the number direction "1234".
+
+The AAL2 routing function uses a longest match comparison to select between routing cases with overlapping
+number directions. For example, given two routing cases A with the number direction "1234" and B with the number
+direction "12345" the AAL2 End System Address "12345" will be routed using routing case B, whereas the AAL
+type 2 Service Endpoint Addresses "12344" and "12346" will be routed using routing case A.
+
+NOTE: It is not allowed to use leading zeros when configuring this attribute.
+
+NOTE: It is not possible to replace an existing routing case by creating routing cases with number directions that
+completely overlap the number direction of the existing routing case.
+
+NOTE: It is not possible to create two routing cases with the exact same number directions in a node.
+
+NOTE: It is possible to create one or more routing cases with number directions that partially overlap the number
+direction of an existing routing case.
+
+Length range 1..15
+Alphabet: "0123456789"
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>15</max>
+ </lengthRange>
+ </string>
+ </dataType>
+ </attribute>
+ <action name="addAal2ApToRc">
+ <description>Adds the identified (and existing) Aal2Ap MO to the routeList. This action should also be used when changing the priority for an existing Aal2Ap MO. When submitting an existing Aal2Ap MO with a new priority the routing list will be updated with the new priority for the specified Aal2Ap MO.
+
+Note! In order to be able to update the priority for a routing entry, the routing entry first has to be deleted and then submitted with the new priority.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="priority">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="route">
+ <in/>
+ <dataType>
+ <moRef name="Aal2Ap"/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidPriorityException"/>
+ <raisesException name="IllegalArgumentTypeException"/>
+ <raisesException name="IllegalAttributeValueException"/>
+ </action>
+ <action name="removeAal2ApFromRc">
+ <description>Removes the identified Aal2Ap MO from the routeList.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="route">
+ <in/>
+ <dataType>
+ <moRef name="Aal2Ap"/>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalAttributeValueException"/>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ </class>
+
+ <class name="Aal2Sp">
+ <description>The Aal2Sp (AAL2 Signalling Point) MO is used to represent the AAL type 2 Service Endpoint Address of the node in the AAL2 network.
+There is one and only one instance of this MO in the ATM NE system.</description>
+ <attribute name="userLabel">
+ <description>For free use</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="a2ea">
+ <description>An E.164 format number that specifies the AAL type 2 Service Endpoint Address of the node.
+
+Length Range: 1..15
+Alphabet: "0123456789"
+
+Note! It is not allowed to use leading zeros when configuring this attribute.</description>
+ <mandatory/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>15</max>
+ </lengthRange>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Aal2SpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnsuccessfulConnsInternal">
+ <description>Performance monitoring counter for the number of unsuccessful attempts to establish connections due to node internal problems.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2Ap">
+ <description>This MO represents a AAL2 Access Point signalling function associated with a single AAL2 signalling relationship to a remote peer AAL2 acess point signalling function. An AAL2 access point is either a high rate access point that uses MTP-3B for signalling or a low rate access point that uses UNI-SAAL for signalling.
+
+Aal2Ap - Aal2 Access Point
+
+Note! The performance monitoring counters in the AalAp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="Aal2ApId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Free for use</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>This attribute holds a list of the reserving MOs.
+</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of the AAL2 AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the Aal2Ap.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="timerErq">
+ <description>Q.2630.2 establishment request timer. Unit is milli seconds.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>5000</min> <max>30000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="timerRel">
+ <description>Q.2630.2 release request timer. Unit is milli seconds.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>2000</min> <max>60000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sigLinkId">
+ <description>Reference to a UniSaalTp or Mtp3bAp MO that provides a signalling link for the Aal2Ap.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="secondarySigLinkId">
+ <description>Reference to a secondary signalling link MO of type UniSaalTp. This attribute can only be set when sigLinkId is of type UniSaalTp.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>The physical location of an AP (Access Point) LR/HR (Low Rate/ High Rate) program represented by a reference to a RPU (ReliableProgramUniter) MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal2QoSCodePointProfileId">
+ <description>The identity of the QoSCodePointProfile used by this MO.</description>
+ <dataType>
+ <moRef name="Aal2QosCodePointProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmExisOrigConns">
+ <description>Performance monitoring counter pmExisOrigConns.
+Number of existing connections for the AP originating in this node.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nrOfConfiguredAal2Paths">
+ <description>The total number of Aal2PathVccTp MOs configured on this Aal2 Ap.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nrOfUnavailableAal2Paths">
+ <description>The total number of unavailable Aal2PathVccTp's on this Aal2 Ap, i.e. the number of Aal2PathVccTp MOs connectected to this Aal2Ap with 'administrativeState' LOCKED.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmExisTermConns">
+ <description>Performance monitoring counter pmExisTermConns.
+Number of existing connections for the AP terminating in this node.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmExisTransConns">
+ <description>Performance monitoring counter pmExisTransConns.
+Number of existing connections for the AP transiting in this node.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSuccOutConnsRemote">
+ <description>Performance monitoring counter pmSuccOutConnsRemote.
+Number of successful establishment of outgoing connections on this AP</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSuccInConnsRemote">
+ <description>Performance monitoring counter pmSuccInConnsRemote.
+Number of successful establishment of incoming connections on this AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccInConnsRemote">
+ <description>Performance monitoring counter pmUnSuccInConnsRemote.
+
+Number of unsuccessful establishment of incoming connections on this AP caused by reject from beyond this node.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccOutConnsRemote">
+ <description>Performance monitoring counter pmUnSuccOutConnsRemote.
+
+Number of unsuccessful establishment of outgoing connections on this AP caused by reject from remote side, reset from remote side, no reply or signalling link failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccInConnsLocal">
+ <description>Performance monitoring counter pmUnSuccInConnsLocal.
+Number of unsuccessful attempts to allocate Common Part Sublayer, CPS, resources during establishment of incoming connections on this AP caused by Channel Identifier, CID, and/or bandwidth collision or mismatch of Call Admission Control, CAC, between peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccOutConnsLocal">
+ <description>Performance monitoring counter pmUnSuccOutConnsLocal.
+
+Number of unsuccessful attempts to allocate CPS resources during establishment of outgoing connections on this AP. Caused by rejects in CAC (Connections Admission Control).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnRecMessages">
+ <description>Performance monitoring counter pmUnRecMessages.
+
+Number of received unrecognized Q.2630.1 messages on this AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnRecParams">
+ <description>Performance monitoring counter pmUnRecParams.
+
+Number of received Q.2630.1 messages with unrecognized parameters on this AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etm1">
+ <description>This MO represents the hardware of the ET-M1 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-M1 board contains 8 physical ports that can be configured as either E1 (ETSI), J1 (TTC) or T1(ANSI). The board does not allow for a mix of E1, J1 and T1 ports, thus all configured ports on the board must be configured to the same standard.
+
+Each of the ports on the ET-M1 board can be used to carry either ATM traffic or circuit orientated (Nx64 Kbits/s connections) traffic.
+
+Each port can support up to 30 ATM VC connections. Two of these connections are reserved for AAL2 paths, and therefore two AAL2 multiplexers per port are available.
+
+Each port can support up to 2 VP connections.
+
+The ET-M1 board supports 1 bidirectional F4/F5 PM flow per port.
+
+Other restrictions:
+- All ports (configured for ATM) on the board must have the same value for the hecCorrection attribute (ATM Port MO).
+- It is possible to configure UBR+ traffic, but there is no guarantee on the minimum cell rate.
+- VP shaping is not supported (it is recommended to configure at most one VP per physical port).
+- VC shaping is not supported with an exception for AAL2 path traffic. This is shaped to the peak bandwidth on the VC connection.
+- When using the Etm1 board the performance monitoring counters in the transmit direction found on the AtmPort MO does not show the following cells: CC cells and OAM FPM cells.
+
+Note! IMA is not applicable for the ETM1 board.
+
+Note! When using fractional atm, timeslot 1 must be a part of the fraction.
+
+Note! ETM1 does not support EPD and PPD
+
+Note! ETM1 does not have a proper buffer management. Thus fairness of UBR+ traffic can not be guaranteed and shaping on UBR+ traffic is not possible.
+
+Note! The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 1 per port.
+</description>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the Etm1 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etm1Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="TuSyncRef">
+ <description>This MO is used to administer synchronization reference (a synchronization input with support for 2MHz and 10MHz signals) on Timing Unit board.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of TU synchronization reference</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>Administrative state of TU synchronization reference</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the TuSyncRef.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>When the TU synchronization reference is registered in the system as an usable synchronization reference, this MO reference is set by using "local" operation syncReserve(). When synchronization reference is de registered, "local" operation syncRelease is used. Note that the only valid user of these operations is Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="TuSyncRefId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Spm">
+ <description>The Special purpose Processor Module MO, Spm, represents one of the Special Purpose Processors and its connected hardware. This object is used for SW management and restart. Hardware failure indications are sent to Spu.
+
+Note! If the board is of type SPB1 the maximum number of Spm MO's that is possible to create under the Spu MO is 3.
+If the board is of type SPB2 the maximum number of Spm MO's that is possible to create under the Spu MO is 5.</description>
+ <attribute name="SpmId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SPM</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber">
+ <description>Switch Module Number</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="asciPortNumber">
+ <description>ASCI Port Number</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="executionResourceNumber">
+ <description>The identity of the SPM on the Special purpose Processor Unit (SPU).
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="runningResourceIdList">
+ <description>The list of identities of running Spm's
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="installedResourceIdList">
+ <description>The list of identities of installed Spm's in the system.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="spLinkName">
+ <description>The uniqe name of the link between the SP and BP.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Restarts the SP.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Spu">
+ <description>The Special purpose Processor Unit MO, Spu, represents the board specific HW on SPB. This objects collects HW failure indications on the board and issues/ceases alarms.</description>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SPB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="spLinkName">
+ <description>The special purpose processor link name.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SpuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Restarts all SPs on the board (but not the BP).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="AtmCrossConnection">
+ <description>This MO is used to represent the point-to-point ATM cross-connect relationship between two VCL termination points.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state for the cross connection.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpAId">
+ <description>Identifies the VclTp managed object involved in the A side of the cross connection (which consists of an A and B side).
+
+Must not be the same as vclTpBId.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpBId">
+ <description>Identifies the VclTp managed object involved in the B side of the cross connection (which consists of an A and B side).
+
+Must not be the same as vclTpAId.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="AtmCrossConnectionId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ConfigurationVersion">
+ <description>The Configuration Version, CV, is an MO managing all on disk saved node configuration. This MO keeps all administrative data about the CV's including path and file-names of the configuration files. There may be more than one CV on the disk but only one is used at the time. A CV is always based on one Upgrade Package.
+
+The local distinguished name of this MO is ManagedElement=1, SwManagement=1, ConfigurationVersion=1.</description>
+ <systemCreated/>
+ <attribute name="ConfigurationVersionId">
+ <description>Configuration version MO's id.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="storedConfigurationVersions">
+ <description>List of stored configuration versions in the node.
+
+Stored in and read from the file system.
+
+List of stored configuration versions (CV) in the node.
+
+The list is a sequence of CVs.
+Each CV is presented as structure. A CV structure has following fields:
+ 1. Name
+ 2. Identity
+ 3. Type
+ 4. UpgradePackageId
+ 5. Operator name
+ 6. Comment
+ 7. Date
+ 8. Status
+
+The CV list is formatted as a sequence of ConfigurationVersionAttributes.
+Each struct contains one CV.
+
+The following characters are allowed within the struct members below.
+
+[0-9], [A-Z], [a-z] and '&amp;' , '%' , ':' , '.' , '_' , '-'
+
+Note! For comment and operatorName spaces (' ') are also allowed within the strings.
+
+Struct element description :
+-Name is in string format, max length is 40.
+-Identity is in string format, max length is 40.
+-Type is in string format, max length is 40.
+-UpgradePackageId is in string format, max length is 40.
+-Operator name is in string format, max length is 40.
+-Comment is in string format, max length is 40.
+-Date is in string format, max length is 40. Format for date is: weekday month date hour:min:seconds year.
+-Status is in string format, max length is 40.
+
+Note! The identity can not be the same as name. Identity should contain the product identity.
+
+
+</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <structRef name="ConfigurationVersionAttributes"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="currentLoadedConfigurationVersion">
+ <description>The name of the current loaded configuration version.
+
+Stored in and read from the file system.
+
+This is the configuration version that the system started with, i.e. the configuration version that was startable at the last node restart. </description>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="startableConfigurationVersion">
+ <description>The name of the configuration version that will be used at next node restart.
+
+Stored in and read from the file system.
+
+This attribute is set by the action "setStartable( name of cv)".
+Also set at rollback by the system. The first CV in the rollback list is then used.</description>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackList">
+ <description>A list of configuration versions to rollback to (configuration version name).
+
+The configuration version on the first line is used at the next rollback. That line is then automatically removed from the rollback list.
+
+This attribute is modified by actions setFirstRollbackList() and removeFromRollbackList()</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="currentUpgradePackage">
+ <description>A reference to current executing upgrade package.</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="UpgradePackage"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoCreatedCVIsTurnedOn">
+ <description>Flag that indicates that a configuration version will be created automatically once a day.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="timeForAutoCreatedCV">
+ <description>Time for the automatic creation of configuration versions once a day.
+
+The string must be specified as "HH:MM" to be accepted at set.
+
+See also Class Attribute autoCreatedCVIsTurnedOn.
+The time is UTC time.
+
+Note! If changing the clock on the node, this attribute must be set or
+the JVM MP must be reastarted.
+
+
+</description>
+ <dataType>
+ <string>
+ <defaultValue>"04:00"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackOn">
+ <description>Flag that indicates if rollback is enabled or not.
+When true the system will automatically set the rollback counter. In case of cyclic restarts a rollback will be issued after x restarts. x is defined by the rollbackInitCounterValue.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackInitTimerValue">
+ <description>Used by the system when rollback is switched on (autoRollbackOn=true). After the given time the node is considered not in a cyclic restart mode. The rollback counter will then be reset to its initial value (rollbackInitCounterValue).
+
+Timer value in minutes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>10000</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackInitCounterValue">
+ <description>Initial value for the rollback counter. Used when rollback is switched on (autoRollbackOn=true).
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>10000</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="commandlogStatus">
+ <description>An attribute to indicate status of command log.
+It can be ON/OFF(true/false). </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="executingCv">
+ <description>The name of the executing Configuration Version, CV.
+
+Same as 'currentLoadedConfigurationVersion' after a node restart, changed to the value of lastCreatedCv when a CV is created.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="lastCreatedCv">
+ <description>The name of the last created Configuration Version, CV.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <action name="setStartable">
+ <description>The CV given will be the one used at the normal node restarts. Only A CV with status = OK can be set as startable.
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="create">
+ <description>A new CV directory will be created. A DB backup from the current RAM CV,
+an ARMAMENT file and the file LLP:LMid is created at the directory. The current
+command log file is copied to the CV directory first, then it removes the file from
+the current directory.
+
+Note: The file cmdlog.properties under /c/ will not be saved to the CV.
+
+The following characters are allowed in the Strings:
+
+[0-9], [A-Z], [a-z] and '&amp;' , '%' , ':' , '.' , '_' , '-'
+
+Note! For comment and operatorName spaces (' ') are also allowed within the strings
+
+-configurationVersionName is in string format, max length is 40 characters.
+-identity is in string format, max length is 40 characters.
+-operatorName is in string format, max length is 40 characters.
+-comment is in string format, max length is 40 characters.
+
+This action does not require a transaction.
+
+Note! The configurationVersionName and identity can not be the same. The identity should be the product identity.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <description>One ASCII text string. It must be possible to create a directory with string. Do not use string "autocreated1" and "autocreated2" as cv name. They are used by the system.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="identity">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="type">
+ <description>Type of the configuration version [ standard | test | other ].</description>
+ <in/>
+ <dataType>
+ <enumRef name="ConfigurationVersionType">
+ <defaultValue>other</defaultValue>
+ </enumRef>
+ </dataType>
+ </parameter>
+ <parameter name="operatorName">
+ <description>The operator's name.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="comment">
+ <description>The operator's comment.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <raisesException name="ValueOutOfRangeException"/>
+ </action>
+ <action name="delete">
+ <description>Delete a configuration version (CV) permanently.
+
+If CV is referenced in the startable or in the rollback list, the action is not performed (an exception is thrown instead).
+
+Note! The latest created CV is not deletable until a node restart is performed or a new CV is created. Also note that a CV is not possible to delete when it has one of the folowing properties:
+- "loaded"
+- "startable"
+- The CV is found in the "rollbacklist"
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="removeFromRollbackList">
+ <description>Removes a Configuration Version (CV) from the rollback list.
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="setFirstRollbackList">
+ <description>Adds a Configuration Version (CV) first in the rollback list. This will cause the node to chose this CV at the next rollback. A CV with status NOK, can't be put in the rollback list.
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="activateCommandLog">
+ <description>This action activates the log.
+
+The inparameter is the drive name (where the command log file should be stored).
+
+Default command log is drive /c/.
+
+Note! Invoking this action affects the attribute'commandLogStatus'.
+
+Note! This action does not require a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="cmdlogdriveName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidDriveNameException"/>
+ <raisesException name="LogActivationException"/>
+ </action>
+ <action name="deactivateCommandLog">
+ <description>This action deactivates the logging of configuration commands.
+
+Please note that uncommited data will be lost.
+
+Note! Invoking this action affects the attribute'commandLogStatus'.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="LogActivationException"/>
+ </action>
+ </class>
+
+ <class name="SwManagement">
+ <description>This MO is used as a structural element for the SW managed object. The MO structures the software resources of the node.
+
+Note that the SwManagement MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, SwManagement=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SwManagementId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="normalizeRPU">
+ <description>This action will normalize all RPUs in the node.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="UpgradePackage">
+ <description>The UpgradePackage, UP, represents an upgrade package as stored in the node file system and as stored on the ftp server that it is/shall be fetched from.
+
+There may be more than one UP at the same time on the disk. One UP represents the running system issue in the node. That one is pointed out by the Configuration Version MO.
+
+The actions on an UpgradePackage MO, are actions that can be called to take that particular UpgradePackage into operation on the node.
+
+The deletion of the Upgrade Package on disk is performed when the associated Upgrade Package MO is deleted. The Upgrade Package's unique LoadModule MOs and files are deleted. ConfigurationVersions in otherReferringCVs are deleted.
+
+
+The UP MO refers to all load modules used in the UP. One load module may by used in more than one UP.
+
+Note at the start-up of the system/database/loading of a Configuration Version, special Upgrade Package MOs are created to represent Upgrade Packages that are stored on the disk but do not have an MO in the current Configuration Version. These special Upgrade Package MOs have are in the "onlyDeletable" state. In this state, the MO will throw ActionNotAllowedException on all its actions!
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.</description>
+ <attribute name="UpgradePackageId">
+ <description>The identity of the MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free of use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="loadModuleList">
+ <description>List of references to load modules that belong to this upgrade package.
+
+The list will be null until a successful install has been performed.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="LoadModule"/>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="upgradeControlFilePath">
+ <description>Path to the .xml file on the node once it has been fetched from the FTP server.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="state">
+ <description>Contains the state of this upgrade package.
+
+The management system and/or operator need to act on some changes in the state attribute.
+
+This attribute is used to accept/reject and modify behavior of actions. For example action 'upgrade' is not allowed in state notInstalled. Furthermore, action 'upgrade' does not behave the same if state == 'Installed' compared to if state == 'executingUpgrade' or 'awaitingConfirm'.
+
+To continue and conclude the upgrade sequence when in state == 'awaitingConfirm', action 'confirmUpgrade()' must be called. In this state it is also advisable to check attribute 'confirmationDeadline' to see how much time it is left before automatic rollback is done.
+
+Upgrade Package MOs that are stored on disk but do not have an MO in the current Configuration Version are in state "onlyDeletable". An MO in this state will throw the exception ActionNotAllowedException on all its actions.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <enumRef name="UpgradePackageState">
+ <defaultValue>NOT_INSTALLED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="progressHeader">
+ <description>Header enum describing the current progress indication.
+Note that this is only progress information, that should be shown to a human operator, because some operations may take time.
+
+Changes in the progress header NEVER entails that the operator or management system need to perform any particular actions. </description>
+ <readOnly/>
+ <dataType>
+ <enumRef name="UpgradeProgressInformation">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="progressTotal">
+ <description>
+This notification attribute is used to indicate progress for different steps in the upgrade sequence. The value gives the total number of steps that is to be performed in current step.
+
+This attribute is changed whenever 'progressHeader' is changed and indicates a maximum count for the progress of the progress counter. What items that are counted is specific for each case. For some cases, the counter is not yet implemented. In these cases, the progressTotal is set to zero (0) when the progressHeader is set.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="progressCount">
+ <description>This attribute is used to indicate progress for different steps in the upgrade sequence. The value is separated in increments from 0 to progressTotal.
+
+The value of progressCount never exceeds the value of 'progressTotal'.
+
+For some cases, the counter is not yet implemented. In these cases, the progressCount is set to zero (0) when the progressHeader is set.</description>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeData">
+ <description>Contains product data for this upgrade package. This information is extracted from the upgrade control file. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="confirmationDeadline">
+ <description>This attribute contains the deadline date and time for an operator confirmation. If the deadline is not met, then an automatic rollback to a previous configuration is issued by the system.
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ftpServerIpAddress">
+ <description>Ip address to the ftp server where the upgrade package is stored.
+
+The input format used by the operator is four fields of digits, separated by a dot.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="upFilePathOnFtpServer">
+ <description>File path on the FTP server to the upgrade control file. </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="user">
+ <description>User id. to be used for logging in to the FTP server.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>anonymous</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="password">
+ <description>Password to the FTP server. This attribute is only supplied at create and is not readable.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>anonymous</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="upgradePackageDocumentId">
+ <description>The document number and revision of the uppgrade package where the white spaces has been removed and underscore between the document number and revision has been inserted e.g. 19089-CSX10901/1_A.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="deletePreventingCVs">
+ <description>The list containing the names of the Configuration Versions that stop the Upgrade Package MO from being deleted.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="otherReferringCVs">
+ <description>The list of names of Configuration Versions that refer to the Upgarde Package MO, but do not affect the deletable status of the Upgrade Package MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="isDeletable">
+ <description>Indicates if the Upgrade Package MO can be deleted.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="basedOnUpgradePackages">
+ <description>This attribute is only valid for Upgrade Packages of type Delta.It contains the merge history of this upgrade package.
+
+Example: [Product Data for normal UP (non Delta UP), Product Data for Delta UP1, Product Data for Delta UP2]. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <structRef name="AdminProductData"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="upCompatibilityIndex">
+ <description>This attribute states a compatibility index.
+
+If specified, it may be used when verifying that an upgrade 'from' state is valid for this Upgrade Package.
+
+Note: It is only used when the Upgrade Control File element upgrade window contains this type of information.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="cppUpVersion">
+ <description>This attribute states the CPP upgrade package version of this Upgrade Package</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="actionResult">
+ <description>The attribute contains the result from the latest four invoked actions.
+
+This attribute is only valid for actions::
+- install (all variants)
+- cancelInstall
+- verifyUpgrade
+- upgrade (all variants, Note that the attribute is only valid when the verification phase discovers that upgrade is not possible').</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <structRef name="ActionResultData"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="install">
+ <description>Load modules defined in the Upgrade Package Control file will only be downloaded to the node if the Load Module MO representing the load module file doesn't already exist.
+The result of this action is indicated in the attribute ActionResult. In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'.Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action will be removed in a later version.
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="FtpServerNotAccessibleException"/>
+ <raisesException name="GetFileException"/>
+ <raisesException name="IpAddressException"/>
+ <raisesException name="UpgradeControlFileException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="verifyUpgrade">
+ <description>Verifies that an upgrade is possible.
+
+The operation is not blocked while the verification is executing i.e. after basic controls the operation initiates the verification and returns 'void'.
+
+The progress of this action is indicated in the attribute ProgressHeader.The result of this action is indicated in the attribute ActionResult.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note: This action does not require a transaction.
+
+
+
+</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="VerifyUpgradeException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="upgrade">
+ <description>Upgrades the node. The operation is not blocked while upgrade is executing i.e. after basic controls the operation initiates the upgrade and returns 'void'.
+
+Before the real upgrade is started a verification phase is executed.
+
+The progress of this action is indicated in the attribute ProgressHeader.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+The result of this action is only indicated in attribute ActionResult in case the verification phase discovers that upgrade is not possible.
+
+Note: This action does not require a transaction.
+
+</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="UpgradeNotPossibleException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="rebootNodeUpgrade">
+ <description>The same as upgrade except that the hard upgrade sequence is always chosen.
+
+It could be helpful to use this method if the application has start- or upgrade- synchronization problems when using a soft upgrade sequence.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+The result of this action is only indicated in attribute ActionResult in case the verification phase discovers that upgrade is not possible.
+
+Note: This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="UpgradeNotPossibleException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="confirmUpgrade">
+ <description>The operator invokes this method when the trial period is over.
+The operation is not blocked while upgrade is executing i.e. after basic controls the operation initiates the upgrade and returns 'void'.In case the upgrade fails after 'void' has been returned the attribute ProgressHeader will be set to 'UpgradeExecutionFailed'.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="UpgradeNotPossibleException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="cancelUpgrade">
+ <description>Cancels an executing upgrade or an upgrade that is awaiting confirm.
+
+Note: This action performs a node restart so that the CV pointed out in the ConfigurationVersion MO is rolled back.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="forcedInstall">
+ <description>All load modules defined in the Upgrade Package Control file will be downloaded to the node even if the Load Module MO representing the load module already exists i.e. existing load module files are replaced.
+The result of this action is indicated in the attribute ActionResult.In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'.Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action will be removed in a later version.
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="FtpServerNotAccessibleException"/>
+ <raisesException name="GetFileException"/>
+ <raisesException name="IpAddressException"/>
+ <raisesException name="UpgradeControlFileException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingInstall">
+ <description>Load modules defined in the Upgrade Package Control file will only be downloaded to the node if the Load Module MO representing the load module doesn't already exist.
+
+This action is non-blocking i.e. the action initiates the installation and returns 'void' immediately without waiting for the installation to be completed.
+
+In case the installation fails after 'void' has been returned, this will be indicated by change of the value of attribute 'ProgressHeader' to 'ExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction.
+</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingForcedInstall">
+ <description>All load modules defined in the Upgrade Package Control file will be downloaded to the node even if the Load Module MO representing the load module already exists i.e. existing load module files are replaced.
+This action is non-blocking i.e. the action initiates the installation and returns 'void' immediately without waiting for the installation to be completed.
+The result of this action is indicated in the attribute ActionResult.In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingSelectiveForcedInstall">
+ <description>This action only installs the load modules that are required in this node i.e. the load modules required after successful execution of action upgrade on this upgrade package.
+
+A load module is considered to be required if one of the following criteria is fulfilled:
+a) The load module is included in a Repertoire MO where the Repertoire MO is connected to a SwAllocation MO and the SwAllocation MO is connected to a Slot MO, a Fan MO, a Jvm MO, a Webserver MO or an applicable type of application MO.
+b) The load module is of type 'other'.
+
+All required load modules will be downloaded to the node even if the Load Module MO representing the load module already exists i.e. existing load module files are replaced.
+
+This operation is non-blocking i.e. the action initiates the installation and returns "void" immediately without waiting for the installation to be completed.
+The result of this action is indicated in the attribute ActionResult.In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+Note. The behaviour described for this action is also obtained for the other installation actions if the Upgrade Control File indicates that the upgrade package is of type selective (which is recommended).
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingSelectiveInstall">
+ <description>This action only installs the load modules defined in the Upgrade Package Control file that are required in this node i.e. the load modules required after successful execution of action upgrade on this upgrade package.
+
+A load module is considered to be required if one of the following criteria is fulfilled:
+a) The load module is included in a Repertoire MO where the Repertoire MO is connected to a SwAllocation MO and the SwAllocation MO is connected to a Slot MO, a Fan MO, a Jvm MO, a Webserver MO or an applicable type of application MO.
+b) The load module is of type 'other'.
+
+Returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute
+actionResult.
+
+The required load modules will only be downloaded to the node if the Load Module MO representing the load module doesn't already exist.
+
+This action is non-blocking i.e. the action initiates the installation and returns 'void' immediately without waiting for the installation to be completed.
+
+The result of this action is indicated in the attribute ActionResult. In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.long
+
+Note. The behaviour described for this action is also obtained for the other installation actions if the Upgrade Control File indicates that the upgrade package is of type selective (which is recommended).
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="cancelInstall">
+ <description>This action interrupts an ongoing installation of an upgrade package.
+
+Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at execution of this action.
+
+This action is non-blocking i.e. the action initiates the cancel of the installation and returns 'void' immediately without waiting for the cancellation to be completed.
+
+During execution of this action the value of attribute 'ProgressHeader' is set to 'CancelOfInstallationIsExecuting'.
+
+In case the cancellation fails after 'void' has been returned this will be indicated by change of the value of attribute 'ProgressHeader' to 'CancelOfInstallationFailed'.
+
+Note! This action does not require a transaction.
+
+This action interrupts an ongoing installation of an upgrade package.
+Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at execution of this action.
+This action is non-blocking i.e. the action initiates the cancel of the installation and returns 'void' immediately without waiting for the cancellation to be completed.
+During execution of this action the value of attribute 'ProgressHeader' is set to 'CancelOfInstallationIsExecuting'.The result of this action is indicated in the attribute ActionResult.In case the cancellation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'CancelOfInstallationFailed'.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="clearUpgradeLogFile">
+ <description>Is used to clear the contents of current upgrade log file.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="UpgradeLogFileNotInUseException"/>
+ <raisesException name="UpgradeLogFileNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Repertoire">
+ <description>The Repertoire is an abstraction of a functionality represented by a plug in unit type (PiuType MO) and one or more load modules (LoadModule MO). The repertoire also provides rules for PiuType/ Load Module combinations valid for a specific function where one function can be provided by one or many PiuType-Load Module combinations.
+These rules are used to load the correct software (Load Modules) to a PlugInUnit. This could be used as operator configuration support and/or in situations where automatic re-configuration is required (hot repair).
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade is recommended to create them.</description>
+ <attribute name="userLabel">
+ <description>A user friendly name associated to the managed object. </description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="name">
+ <description>A function friendly name associated to the repertoire. The value must be unique with respect to all repertoires with the same install state.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="info">
+ <description>Information regarding the function.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="piuTypeList">
+ <description>A list containing all PiuType references that has been added to this repertoire.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="PiuType"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="RepertoireId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving SwAllocation MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwAllocation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="OspfInterface">
+ <description>The OSPF Interface MO represents an interface that is used by the OSPF protocol to communicate with a neighbour node. By connecting an OSPF interface to a Link MO that Link is defined as a link to be used by OSPF.
+
+Each OspfInterface MO must always be connected to a OspfArea MO.
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ospfAreaRelated">
+ <description>The identity of the OspfArea connected to this OspfInterface. The default value is the default OspfArea MO.</description>
+ <dataType>
+ <moRef name="OspfArea"/>
+ </dataType>
+ </attribute>
+ <attribute name="lsaTransmissionInterval">
+ <description>The time, in seconds, between LSA re-transmissions over the interface - default value 5 seconds.</description>
+ <dataType>
+ <long>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="helloInterval">
+ <description>The time, in seconds, between sending OSPF Hello-packets over the interface. Default value 10 seconds.
+
+Note! Must be a positive value.</description>
+ <dataType>
+ <long>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routerDeadInterval">
+ <description>The time, in seconds, after which a neighbor router is declared dead when not heard from.
+</description>
+ <dataType>
+ <long>
+ <defaultValue>40</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="interfaceTransitDelay">
+ <description>Estimated delay, in seconds, for the transmission of a link state update package over the interface.
+</description>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="interfacePriority">
+ <description>The interface priority. Each router is configured with a priority configured from 0 - 255 where 0 is the lowest priority and 255 the highest.
+
+Note! Must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="relatedLink">
+ <description>Each OSPF interface is connected to one IP link.
+The relatedLink can be either an IpAtmLink or an EthernetLink MO
+reference.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="OspfInterfaceId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfIfEvents">
+ <description>Performance monitoring counter for the number of times this OSPF interface has changed its state or an error has occured.
+
+See RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Ospf">
+ <description>The Ospf MO represents an instance of the Open Shortest Path First (OSPF) routing protocol running in a Cello node.
+
+When the Ospf MO is created a default OspfArea MO is also created. This OspfArea has the areaId 000.000.000.000.
+
+The attribute range0 in the default OspfArea will contain the following values:
+advertise = false
+ipAddress = 000.000.000.000
+subnetMask = 000.000.000.000
+</description>
+ <attribute name="OspfId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ospfRouterId">
+ <description>The ospfRouterId attribute is in the form of an IP address.
+In order to able to set ospfRouterId the attribute
+administrativeState has to be set to locked. The ospfRouterId
+is uniquely identifying the router in the Autonomous System. By
+convention to ensure uniqueness, this should be configured to the
+value of one of the routers IP interface addresses.</description>
+ <mandatory/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="ospfASBdrRtrStatus">
+ <description>The ospfASBdrRtrStatus attribute controls, whether this router is configured as an Autonomous System border router (Routers connected to multiple areas are called area border routers). The attribute must be set to 'True' if the user wants to configure static routes, which should be distributed to other OSPF routes as external routes. Configuration of static routes is the same as adding and deleting static routes to the IpRoutingTable Mo.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MO.
+The operationalState can only be enabled when the IP MO is in workingMode routerMode.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="ospfAreaBdrRtrStatus">
+ <description>Area border router flag - indicates whether the router is acting as an area border router or not.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ipMo">
+ <description>The name of the Ip MO that the Ospf MO is to use</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ip"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. It is a bit mapped CORBA long, where one or more of the bits may be set. If none of the bits are set then the availability status is considered to be undefined.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfOriginateNewLsas">
+ <description>The number of new link-state advertisments that have been originated. This number is incremented each time the router originates a new LSA.
+
+For more detailed information, see RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfRxNewLsas">
+ <description>The number of link-state advertisments received determined to be new instantiations. This number does not include newer instantiations of self-originated link-state advertisments.
+
+For more detailed information, see RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="recoverTopoDb">
+ <description>Indicates whether the topology database is to be recovered from the database at restart.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="topoDbStoreInterv">
+ <description>The interval, in seconds, with which the topology database will be stored.</description>
+ <dataType>
+ <long>
+ <defaultValue>60</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="OspfArea">
+ <description>An OSPF autonomous system is divided into OSPF areas. The OSPF Area MO represents such OSPF areas.
+
+A default OspfArea MO is automatically created when the Ospf MO is created.
+It has the identity = 1, so LDN of this MO will be
+ManagedElement=1,IpSystem=1,Ospf=1,OspfArea=1.
+
+Note! A maximum of 4 OspfAreas can be configured each with a maximum of 8 interfaces.</description>
+ <attribute name="OspfAreaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="stubArea">
+ <description>Indicates whether the OSPF area is a stub area or not.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="importExternalLsa">
+ <description>Indicates whether importing Autonomous System external LSA's is supported.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="sendAreaSummary">
+ <description>Import Area summary - indicates whether the router will originate and propagate summary LSAs into a stub-area.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="stubAreaMetric">
+ <description>Cost for default route that the router advertises into the area if the area is a stub area and the router is an area border router. By default set to the least metric among the interfaces to other areas.</description>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="stubAreaMetricType">
+ <description>Stub Area metric type.
+
+RFC 1850 (OSPF V2 MIB):
+
+comparableCost (external type 1)
+nonComparable (external type 2)</description>
+ <dataType>
+ <enumRef name="OspfMetricType">
+ <defaultValue>comparableCost</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="areaLsaChecksum">
+ <description>Area Lsa checksum - 32-bit unsigned sum of the link-state advertisements LS check sums contained in this area's link-state database.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="areaId">
+ <description>The OSPF area identity in form of an IP address. This areId uniquely identifies the area.
+AreaId 0.0.0.0 is used for the OSPF backbone.
+Range: 000.000.000.000 to 255.255.255.255.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="range0">
+ <description>OspfArea IP address range zero (0).</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </attribute>
+ <attribute name="range1">
+ <description>OspfArea IP address range one (1).</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </attribute>
+ <attribute name="range2">
+ <description>OspfArea IP address range two (2).</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfSpfRuns">
+ <description>The number of times that the intra-area route table has been calculated using this area's link-state database. This is typically done using Dijkstra's algorithm.
+
+For more detailed information, see RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving OspfInterface MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="OspfInterface"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="addAreaRange">
+ <description>Add aggregation range. Used to add a range of IP addresses to an OSPF area.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="range">
+ <description>The area range aggregation.</description>
+ <in/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </parameter>
+ <parameter name="rangeIndex">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="AttrMissingAtCreateException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="NoSuchFieldException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ <raisesException name="WrongAttributeTypeException"/>
+ </action>
+ <action name="deleteAreaRange">
+ <description>Delete an IP address range. Used to remove a range of IP addresses from an OSPF area.
+
+Input is the area aggregation range index.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="rangeIndex">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ </action>
+ </class>
+
+ <class name="SwitchCoreUnit">
+ <description>The Switch Core Unit MO, SCU, represents the board specific HW on the SCB. This object collects HW failure on the board and sends alarms. It is also used for configuration of board specific FW.
+This board can only be fitted in slot 1 and 28 in a standards subrack.
+The board has a number of switch internal link ports.</description>
+ <notificationTypes>alarm</notificationTypes>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSili">
+ <description>The administrative state of the switch internal link ports on the switch board. The attribute refers to all ports (locking will lock all ports, unlocking unlock all ports).
+SILI -switch internal link interface.
+</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSil">
+ <description>Operational state indicates the the traffic handling capability of all ports as a group on the board, i.e it is enabled if one or more of the eight ports is enabled. The purpose of this attribute is only for test.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSync">
+ <description>Operational State for network synchronization distribution.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSil">
+ <description>The availability status of the switch internal link (SIL) ports..
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSync">
+ <description>Availability state for network synchronization distribution.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchCoreUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>A list of the reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="silPorts">
+ <description>The attribute provides a list of port numbers.
+The port number is between 0-7 and is used to read state of the switch internal port.
+See action getSilPortState, getOperState and getAvailState.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="getSilPortState">
+ <description>Returns status of the switch internal link port, which is the same as the LEDs on the unit.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="SilPortState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilOperState">
+ <description>Returns operational status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="OperState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilAvailState">
+ <description>Returns availability status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="GeneralProcessorUnit">
+ <description>The General purpose Processor Unit MO, GPU, represents the board specific HW on the GPB; hard disk, ethernet and serial port etc.
+
+Note! The 'availabilityStatus' for the GeneralProcessorUnit MO is retreived from the PlugInUnit MO.</description>
+ <attribute name="GeneralProcessorUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Indicates if the function provided by the managed object is capable of performing its normal functions or not.
+
+Note! The value for operationalState is retrived from the GeneralProcessorUnit's parent, i.e. the PlugInUnit.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeDSize">
+ <description>The total size in bytes of the D volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeDFreespace">
+ <description>The amount in bytes of freespace available on the D volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumePSize">
+ <description>The total size in bytes of the P volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumePFreespace">
+ <description>The amount in bytes of freespace available on the P volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds the identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Jvm">
+ <description>The JVM MO is used to represent the Java Virtual Machine. This object is used for configuration JVM parameters, including SW management of Java class libraries used in the machine.
+
+The local distinguished name of this MO is ManagedElement=1, Equipment=1, JVM=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="classpath">
+ <description>Current classpath, the JVM is using</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="admClasspath">
+ <description>New classpath that will be activated at next restart of JVM. The classpath has a directory, which contains the load module, i.e. the classpath references the load module.</description>
+ <dataType>
+ <sequence>
+ <moRef name="LoadModule"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="freeMemory">
+ <description>Free memory in the java machine, in bytes.
+
+NOTE: Before this value is read from the system the action 'garbageCollect' is invoked.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="totalMemory">
+ <description>Total amount of memory allocated to the java machine, in bytes.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="mopplets">
+ <description>Contains all classes that are to be initilised and started when the JVM restarts.
+
+Technical note: The specified classes must implement the se.ericsson.cello.moframework.Mopplet interface and be found in a jar/zip-file pointed out by the classpath.
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="main">
+ <description>Defines the start class name.
+e.g. "se.ericsson.cello.oms.Oms"</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="options">
+ <description>Current options:
+ -D&lt;property&gt;=&lt;value&gt; Set a system property value. Commonly java.class.path
+-Xms&lt;size&gt; Specify the size, in bytes, of the memory allocation pool.
+-Xss&lt;size&gt; Set thread stack size in bytes.
+-Xverify:[all | remote | none] Verify classes according to the suboption.
+ -Xverify:all verifies all classes.
+ -Xverify:remote verifies remote classes only.
+ -Xverify:none turns off class verification.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="JvmId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="properties">
+ <description>Determines the current system properties.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="reliableProgramUniter">
+ <description>A reference to the ReliableProgramUniter that refers to the programs in the which the JVM executes.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="admMain">
+ <description>New start class that will be activated at next restart of the JVM.
+e.g. "se.ericsson.cello.oms.Oms"</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="admOptions">
+ <description>This attribute is used when setting one or more administrative options.
+
+A set option is operational after the next Java Machine restart.
+
+See also attribute 'options'
+
+One or more options can be set, one option in each string of the
+sequence according to description below:
+
+Current options:
+ -D&lt;property&gt;=&lt;value&gt; Set a system property value. Commonly java.class.path
+-Xms&lt;size&gt; Specify the size, in bytes, of the memory allocation pool.
+-Xss&lt;size&gt; Set thread stack size in bytes.
+-Xverify:[all | remote | none] Verify classes according to the suboption.
+ -Xverify:all verifies all classes.
+ -Xverify:remote verifies remote classes only.
+ -Xverify:none turns off class verification.</description>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySwAllocation">
+ <description>This attribute holds the identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwAllocation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="garbageCollect">
+ <description>Runs the garbage collector.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="setProperty">
+ <description>Sets a system property (i.e. propertys in the operating system).
+Note! The valeus set with this action is not saved in the database.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="name">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="value">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="restart">
+ <description>Restart of executing JVM instance.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="SwitchFabric">
+ <description>This MO represents the switch of a Cello node. It is used for general switch configuration.
+
+The system creates automatically one instance of this MO at restart.
+
+Today, only a maximum of 32 Switch Modules MOs and 2 SwitchInternalLinks MOs per InternalLinkGroup MOs are allowed in a Cello node. However, in theory the switch addressing space in the system allows up to 256 SwitchModules MOs. This would then mean there are 255 InternalLinkGroup MOs, each of which is allowed 256 SwitchInternalLink MOs by the addressing.
+
+The local distinguished name of this MO is ManagedElement=1, SwitchFabric=1.
+
+</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchFabricId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SwitchModule">
+ <description>This MO represents two switch planes of one sub-rack, i.e. either the main switch or an external switch.
+
+Note! In a non-redundant system the administrativeStateSwitchPlaneA/B has to be set to locked for the non-present plane. </description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber">
+ <description>Indicates the number of the switch module, SMN.
+
+switchModuleNumber &amp;gt;= 0
+
+The main switch module, with one or two TimingUnits, is given SMN = 0. SMN for extension switch modules depends on the port pair that the extension switch module is connected to in the main switch module.
+
+The SMN is calculated according to the following formula:
+
+Switch module number [1..255] = int (APN/2)*8 + ISL-port in main [1..8]
+
+APN - ASCC port number
+ISL - inter-switch link</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="secondSmnEvaluation">
+ <description>secondSmnEvaluation - There are two cables that
+are relevant for Switch Module Number (smn) numbering
+If the cabling is wrong secondSmnEvaluation
+will show the second opinion about smn.
+Under normal conditions secondSmnEvaluation = smn.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the switch module.
+
+Note! When setting the 'administrativeState' to shutting down a notification about that the state has changed to 'locked' will be sent towards the operator. There is however no guarantee that this operation has been succesful despite the notification and state change due to limitations in the resource layer
+</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSwitchPlaneA">
+ <description>The administrative state of switch plane A.
+It is not allowed to lock both switch plane A and B.
+
+Note! When setting the 'administrativeState' to shutting down a notification about that the state has changed to 'locked' will be sent towards the operator. There is however no guarantee that this operation has been succesful despite the notification and state change due to limitations in the resource layer</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSwitchPlaneB">
+ <description>The administrative state of switch plane B.
+It is not allowed to lock both switch plane A and B.
+
+Note! When setting the 'administrativeState' to shutting down a notification about that the state has changed to 'locked' will be sent towards the operator. There is however no guarantee that this operation has been succesful despite the notification and state change due to limitations in the resource layer</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Switch Module. At least one of switch plane is enabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSwitchPlaneA">
+ <description>The operational state of Switch Module plane A. The state is disabled if any switch ports is faulty. But switch may still be used.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSwitchPlaneB">
+ <description>The operational state of Switch Module plane B. The state is disabled if any switch ports is faulty. But switch may still be used.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the Switch Module.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSwitchPlaneA">
+ <description>The availability status of the Switch Plane A.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSwitchPlaneB">
+ <description>The availability status of the Switch Plane B.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="activeSwitchPlane">
+ <description>The active switch plane: A, B. During multiple faults, both plan A and B may be used.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="ActiveSwitchPlane">
+ <defaultValue>switchPlaneA</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchModuleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="InternalLinkGroup">
+ <description>The Internal Link Group MO represents all switch internal links connecting the main switch to an external switch.
+
+Switch internal links are automatically grouped in Reliable Groups for redundancy.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber1">
+ <description>Reference to the SwitchModule MO that is interconnected by the link in the InternalLinkGroup.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="SwitchModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber2">
+ <description>Reference to the SwitchModule MO that is interconnected by the link in the InternalLinkGroup.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="SwitchModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative status of internal link group.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational status of internal link group.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="InternalLinkGroupId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="getRlgLinks">
+ <description>Returns a list of resource instance identities of the switch internal links in the specified Reliable Group.
+
+The existing Reliable Groups are listed with action getReliableLinkGroups.
+
+Note! This action is transactional.</description>
+ <returnType>
+ <sequence>
+ <longlong/>
+ </sequence>
+ </returnType>
+ <parameter name="rlgNumber">
+ <description>Reliable link group number.</description>
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getLinkStatus">
+ <description>Returns the status of the specified switch internal link, within the specified Reliable Group.
+
+Note! This action is transactional.</description>
+ <returnType>
+ <enumRef name="SwitchReliableGroup">
+ </enumRef>
+ </returnType>
+ <parameter name="instanceId">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="rlgNumber">
+ <description>Reliable link group number.</description>
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getReliableLinkGroups">
+ <description>Returns a sequence of Reliable Group numbers.
+
+Each Reliable Group is identified by a unique number which can be used to read out the identities of the switch internal links that are allocated to the Reliable Group. This is done with action getRlgLinks.
+
+The allocation of switch internal links to Reliable Groups is automatic.
+
+Note! This action is transactional.
+</description>
+ <returnType>
+ <sequence>
+ <long/>
+ </sequence>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="SwitchInternalLink">
+ <description>This MO represents a link interconnecting the from either a SCB or SXB port on the main switch to a SCB on an external switch.
+
+The main switch is located in the hub subrack.
+
+The SCB is represented by the SwitchCoreUnit MO, while the SXB is represented by the SwitchExtensionUnit MO.
+
+Switch internal links are automatically grouped in Reliable Groups for redundancy.</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchInternalLinkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnit1">
+ <description>The reference of one connection point for a SwitchCoreUnit or SwitchExtensionUnit.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnit2">
+ <description>The reference of one connection point for a SwitchCoreUnit or SwitchExtensionUnit.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="siliport1">
+ <description>Switch internal port number on PlugInUnit1.
+
+Note!
+On a standard SCB/SXB board, the ports are labeled from the bottom to the top.
+
+Port nr -&gt; label:
+ '0' 'D'
+ '1' 'C'
+ '2' 'B'
+ '3' 'A'
+
+Also note that his mapping will change when adding/removing a board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="siliport2">
+ <description>Switch internal port number on PlugInUnit2.
+
+Note!
+On a standard SCB/SXB board, the ports are labeled from the bottom to the top.
+
+Port nr -&gt; label:
+ '0' 'D'
+ '1' 'C'
+ '2' 'B'
+ '3' 'A'
+
+Also note that his mapping will change when adding/removing a board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of switch internal link.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateTraffic">
+ <description>The operational state of switch internal link. There is no traffic if the link is disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusTraffic">
+ <description>The availabilityl status of switch internal link.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSync">
+ <description>The operational state of sync link. There is no clock distribution if the link is disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSync">
+ <description>The availability state of sync link.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etm4">
+ <description>This MO represents the hardware of the ET-M4 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-M4 board contains 2 physical ports that can be configured as either STM1(ETSI/TTC) or OC3C (ANSI). The board supports unchannelised ATM access (i.e. it maps ATMs cells directly into an SDH VC4 container).
+
+The board supports up to 1800 ATM VC connections.
+The number of terminated VPs are limited to 48/board.
+The board supports up to 750 VC UBR+ connections.
+
+The ET-M4 board contains 128 AAL2 multiplexers.
+The ET-M4 board supports up to 256 bidirectional F4/F5 PM flow per port.
+
+Note! IMA is not applicable for the ETM4 board.
+
+Note! The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 256 per board.
+
+Note! When using the Etm4 board the performance monitoring counters in the transmit direction found on the AtmPort MO does not show the following cells: CC cells and OAM FPM cells.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the hardware ETM4. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etm4Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="VpcTp">
+ <description>Virtual path connection termination point, VpcTp, is used to represent the point where the VPC and associated overhead (F4 OAM cells) are terminated/originated.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="VpcTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the VpcTp</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuity checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second. Continuity check both enables generation of CC cells as well as detection of LOC</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (i.e. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlkSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.
+</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for number of lost forward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="eteLoopBack">
+ <description>This action performs VC end to end loopback from this VpcTp.
+false=Failed, true=Succeded
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <boolean/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="VplTp">
+ <description>This MO is used to represent the termination of a VP link.
+
+Note that a maximum of 999 MO's of this type may be configured.
+
+Note! For information on how many VplTp's that can be configured under the AtmPort MO please refer to the board that is parent to the termination reserved by the AtmPort MO.
+
+The performance monitoring counters in the VplTp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="userLabel">
+ <description>This MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="atmTrafficDescriptor">
+ <description>Reference to the Atm Traffic Descriptor.</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="AtmTrafficDescriptor"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the VplTp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="externalVpi">
+ <description>This is the virtual path identifier for the external ATM cells.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmReceivedAtmCells">
+ <description>Performance monitoring counter for number of received ATM cells for the VplTp.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTransmittedAtmCells">
+ <description>Performance monitoring counter for number of transmitted ATM cells.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="VplTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="TimingUnit">
+ <description>This MO represents a timing unit (reference clock) in the node.
+
+The corresponding Program shall be of LoadModuleLoaderType, SpmFpgaLoader.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of TimingUnit</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the TimingUnit.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="TimingUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="PiuType">
+ <description>The PiuType is an MO that holds product information about a PlugInUnit and the execution resources of the PlugInUnit. It is created/deleted during a software upgrade.
+The PiuType is used when creating execution MOs connected to a PlugInUnit and when allocating software to this resource.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.
+
+
+</description>
+ <attribute name="userLabel">
+ <description>A user friendly name associated to the managed object. </description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productData">
+ <description>The productData describes the product number, short product revision, product name and product information of the PlugInUnit. The production date is not used.
+
+Trailing letters in the revision is recommended to be excluded in the PiuType. Example: R2B will be R2 without trailing letter, R2B/3 must be R2B/3 in the PiuType, R2B/A should be R2 in the PiuType.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="boardWidth">
+ <description>The width of the PlugInUnit board in steps of 5 mm.
+A PlugInUnit can use/cover more than the slot that it occupies.
+
+Example: boardWidth=3 corresponds to 3*5 = 15 mm.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long/>
+ </dataType>
+ </attribute>
+ <attribute name="airFlowData">
+ <description>Control value m3/h for the fan unit. Needed by cooling function.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="AirFlowData"/>
+ </dataType>
+ </attribute>
+ <attribute name="PiuTypeId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="role">
+ <description>The value will be MP if a board has the role of a Main processor.
+The value will be BP if a board has the role of a device board
+Otherwise the value will be OTHERS.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="PiuRole">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity's of the reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="numberOfMoConfigurations">
+ <description>Returns the number of entries in the PIUTypeConfigurationArray.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="getMoConfiguration">
+ <description>Returns a struct of a specific MoConfiguration at a given index.
+The moConfiguration holds information about how to create the
+subordinate objects for a specific piu type.
+
+Precondition: 0 &lt;= index &lt;= numberOfMoConfigurations() - 1
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <structRef name="PiuTypeMOConfiguration"/>
+ </returnType>
+ <parameter name="index">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidIndexException"/>
+ </action>
+ </class>
+
+ <class name="SwAllocation">
+ <description>This MO is primarily used to handle data for automatic configuration of load modules. Automatic in the meaning that the system selects the appropriate load module and revision. A SWA may be related to a slot, indicating that a specific functionality is requested at this location. The SWA is manually created by the operator.
+
+The SWA object is related to (one or more) repertoires, which hold information on possible PIU-type/revisions and load module combinations.
+
+In some cases (e.g multi-purpose boards, boards with processor/FPGA-pools) it may not be possible to completely configure the PIU without instructions from the operator (e.g which load module runs on which processor/FPGA). In these cases a specialization of the SWA has to be designed in such a way that it can be manually configured.
+
+When a SwAllocation MO depends on a ManagedObject MO, this ManagedObject actually represents a RBS Fan.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade is recommended to create them.</description>
+ <attribute name="userLabel">
+ <description>Free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="role">
+ <description>The role of a slot/PIU. The role must be unique with respect to other SwAllocations in the node.
+
+This is a preparation to introduce predefined SwAllocation in future upgrade packages.</description>
+ <mandatory/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="repertoireList">
+ <description>A list of references to repertoires.</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Repertoire"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="slotList">
+ <description>A list of references to mainly slots in a subrack, e.g. Slot or Fan MO. It may also refer to other objects handling auxiliary units, e.g. RBS Fan.</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SwAllocationId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="addRepertoire">
+ <description>Adds the specifed repertoire to this list.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aRepertoire">
+ <in/>
+ <dataType>
+ <moRef name="Repertoire"/>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ <action name="deleteRepertoire">
+ <description>Removes the first occurrence of the specified repertoire from this list.
+
+Notw! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aRepertoire">
+ <in/>
+ <dataType>
+ <moRef name="Repertoire"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="addSlot">
+ <description>Adds the specified Slot to the slotList.
+The slot can only be of type Slot MO, Fan MO, WebServer MO, JVM MO or an applicable type of application MO (e.g. for "RBS Fans").
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aSlot">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ <action name="deleteSlot">
+ <description>Removes the first occurrence of the specified slot from this list.
+The slot can only be of type Slot MO, Fan MO, WebServer MO or an
+applicable type of application MO (e.g. for "RBS Fans").
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aSlot">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="deleteAllRepertoires">
+ <description>Removes all repertoires from this list and sets its size to zero.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deleteAllSlots">
+ <description>Removes all slots from this list and sets its size to zero.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="addSlots">
+ <description>Adds the specified Slot to the slotList.
+The slot can only be of type Slot MO, Fan MO, WebServer MO, JVM MO or an applicable type of application MO (e.g. for "RBS Fans").
+If the slot exists in the list, the object is ignored.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="managedObjects">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ <action name="addRepertoires">
+ <description>Adds the specifed repertoire to this list.
+
+If the repertoires exist in the list the repertoire is ignored.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="repertoire">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="Repertoire"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ </class>
+
+ <class name="MediumAccessUnit">
+ <description>The Medium Access Unit (MAU) MO represents the Ethernet transceiver function on a General Processor Board (GPB).
+
+Note! Ethernet links which are modelled by a MediumAccessUnit MO are used for O&amp;M IP traffic only. MediumAccessUnit MOs must not be configured under a GeneralProcessorUnit MO which have a IpAccessHostGpb referring to it.
+
+Note! This object is known in standards as "MediumAttachmentUnit".
+</description>
+ <attribute name="userLabel">
+ <description>Label for free use.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Description of operational state which has an enumerated datatype</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="MediumAccessUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="connectorLabel">
+ <description>This attribute is used by a management system to show the label on the port the connector is attached to.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>Eth</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDot3StatsLateCollisions">
+ <description>Performance management counter for the number of times that a collision was detected on the interface after the minimum length of a frame.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDot3StatsFCSErrors">
+ <description>Performance management counter for the number of frames that did not pass the FCS check.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="linkSpeed">
+ <description>The Link Speed, measurment unit is Mb/s..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="duplexMode">
+ <description>The duplex mode used.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="MauDuplexMode">
+ <defaultValue>FULL_DUPLEX</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ReliableProgramUniter">
+ <description>A Reliable Program Uniter (RPU) is a controlling and addressing entity providing one common addressing unit for two Reliable Programs. Or more correctly for parts of two Reliable Programs, each part is called a Resource Suite Handler (RSH). The RSH is implemented and owned by the application. It is not a function or a concept within Cello.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reliableProgramLabel">
+ <description>Reliable Program Label.
+Compare this to the reliableProgramLabel in LoadModule MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="admActiveSlot">
+ <description>Reference to slot, contains the running "Normal" relation to a slot. If the reference is set to the passive slot, the passive slot will be cleared and only one relation will be set.</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="Slot"/>
+ </dataType>
+ </attribute>
+ <attribute name="admPassiveSlot">
+ <description>Reference to slot, contains the running "Stand-by" relation to a slot. If the reference is set to the active slot or a null value, the passive slot will be cleared. </description>
+ <dataType>
+ <moRef name="Slot"/>
+ </dataType>
+ </attribute>
+ <attribute name="switchOver">
+ <description>This attribute controls when to switchover the RPU, if a certain type error situation occurs.</description>
+ <dataType>
+ <enumRef name="RPUSwitchOverMode">
+ <defaultValue>AtPiuFault</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="normalisation">
+ <description>This attribute controls when to switch back the RPU, after error recovery has been done.</description>
+ <dataType>
+ <enumRef name="RPUNormalisationMode">
+ <defaultValue>Automatic</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="replication">
+ <description>This attribute indicates that which level of data replication that is associated with the RPU.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="RPUReplicationMode">
+ <defaultValue>ApplControlled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalMode">
+ <description>This attribute indicates if the RSH execution mode (active/passive) in the two Reliable Program, matches the normal/stand-by relations set on the corresponding RPU.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="RPUOperationalMode">
+ <defaultValue>Unavailable</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="ReliableProgramUniterId">
+ <mandatory/>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="switch">
+ <description>This action will force the two corresponding RSHs to change their execution mode (active/passive). It will lead either to a switchover or to a switchback, depending of what value the operational Mode attribute is showing.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ </class>
+
+ <class name="ManagedElementData">
+ <description>This object contains attributes and actions on Cello node-level.
+
+The ManagedElementData MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, ManagedElementData=1.</description>
+ <systemCreated/>
+ <attribute name="ManagedElementDataId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigurationAllowed">
+ <description>This attribute specifies if the system is allowed to auto create detected objects. Note that slots will always be auto created.
+
+Note! When setting this attribute from true to false the system creates a new CV.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="documentServerAddress">
+ <description>The base URL for the documentation server (ALEX). The attribute is read by Element Management application to find the documentation server in the network.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="logonServerAddress">
+ <description>A list of node names or IP addresses of the single logon servers (SLSs). The list uses commas to seperate the single logon server addresses, e.g. "https://SLS1,https://SLS2,https://SLS3", these addresses consists of the URLs to the concerned SLS servers. The attribute is read by Element Management application to find the single logon server in the network.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="dhcpServerAddresses">
+ <description>IP addresses to the DHCP server, used by the DHCP Relay Agent.
+
+Default is an empty array.
+
+Adding and removing addresses is done through the actions addDhcpServerAddress() and removeDhcpServerAddress().
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string>
+ <defaultValue>empty</defaultValue>
+ </string>
+ <length>10</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="performanceDataPath">
+ <description>This attribute is used by the internal performance management service
+to know where to store it's data files. The attribute is the name of
+a path in the node.
+
+Example: /c/public_html/cello/XML_files/</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServerAddressPrimary">
+ <description>This is the IP address to the NTP server</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServiceActivePrimary">
+ <description>This is a boolean that controls if the node should poll the primary NTP server for information.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMinPollPrimary">
+ <description>This value states the minimum interval (in seconds) for polling the primary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMaxPollPrimary">
+ <description>This value states the maximum interval (in seconds) for polling the primary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>1024</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpBurstFlagPrimary">
+ <description>This is the burst flag used towards the primary NTP server.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServerAddressSecondary">
+ <description>This is an alternative IP address to a NTP server</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServiceActiveSecondary">
+ <description>This is a boolean that controls if the node should poll the secondary NTP server for information.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMinPollSecondary">
+ <description>This value states the minimum interval (in seconds) for polling the secondary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMaxPollSecondary">
+ <description>This value states the maximum interval (in seconds) for polling the secondary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>1024</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpBurstFlagSecondary">
+ <description>This is the burst flag used towards the secondary NTP server.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="nodeUTCTime">
+ <description>This attribute specifies the UTC time used in the node. The attribute value is milliseconds since epoch (January 1, 1970, 00:00:00 GMT).
+The value may only be set while ntpServiceActive both for primary and secondary is false.</description>
+ <dataType>
+ <longlong>
+ <defaultValue>0</defaultValue>
+ </longlong>
+ </dataType>
+ </attribute>
+ <attribute name="nodeLocalTimeZone">
+ <description>This value states in what time zone the node is located. This attribute is needed by management application to convert UTC time from a log or NTP service to local time.
+It will not change the real time clock on the node.
+
+The timeZone is represented by three characters, example GMT.
+
+Available options are:
+
+TimeZone ID Offset
+GMT (Greenwich Mean Time) 0
+UTC (Coordinated Universal Time) 0
+ECT ( Central European Standard Time) + 1
+EET (Eastern European Time) + 2
+ART (Eastern European Time) + 2
+EAT (Eastern African Time) + 3
+MET (Iran Time) + 3.5
+NET (Armenia Time) + 4
+PLT (Pakistan Time) + 5
+IST (India Standard Time) + 5.5
+BST (Bangladesh Time) + 6
+VST (Indochina Time) + 7
+CTT (China Standard Time) + 8
+JST (Japan Standard Time) + 9
+CST (Central Standard Time) + 9.5
+EST (Eastern Standard Time) + 10
+AET (Eastern Standard Time) + 10
+SST (Solomon Is. Time) + 11
+NST (New Zealand Standard Time) + 12
+CAT (Central Alaska Time) - 1
+AGT (Argentina Time) - 3
+BET (Brazil Time) - 3
+CNT (Newfoundland Standard Time) - 3.5
+PRT (Atlantic Standard Time) - 4
+IET (Eastern Standard Time) - 5
+ACT (Central Standard Time) - 6
+PNT (Mountain Standard Time) - 7
+MST (Mountain Standard Time) - 7
+PST (Pacific Standard Time) - 8
+AST (Alaska Standard Time) - 9
+HST (Hawaii Standard Time) - 10
+MIT (West Saoma Time) - 11
+--
+
+Reference: http://www.greenwichmeantime.com
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="daylightSavingTime">
+ <description>This attribute states weather daylight saving time is used on this node. It is used to by the management application and will not change the real time clock on the node.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeCSize">
+ <description>The total size of the C volume on the Cello node in bytes. The C volume may be replicated on several hard disks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeCFreespace">
+ <description>The number of bytes of free space available on the C volume. The C volume may be replicated on several hard disks in a Cello node.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nodeUniqueID">
+ <description>This is a unique node identity to be set only in the factory.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="swServerUrlList">
+ <description>A list of URLs of external server for downloading of software. A comma-separated list or URLs, complete with path and name of property file.
+
+Note: For downloading of software for system upgrade, see attribute ftpServerIpAddress in MO UpdradePackage.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="piuGroupEscalationType">
+ <description>The type of node restart when it is a problem with the last PIU in a PIU group.</description>
+ <dataType>
+ <enumRef name="NodeRestartType">
+ <defaultValue>WARM_AT_PIU_RESTART</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="syncModeOperation">
+ <description>The sync mode operation of the node. Note that this attribute is only relevant when the node contains CBU board. For all other cases the value shall be set to NOT_USED.</description>
+ <dataType>
+ <enumRef name="SyncMode">
+ <defaultValue>NOT_USED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="createNtpPrimary">
+ <description>This action creates the primary Network Time Protocol. There can only exist one primary and one secondary ntp.
+
+Once the ntp is created it is possible to change the attributes, i.e ip address, burst flag, max- and min poll and which is the active one.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ntpServerAddressPrimary">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMinPollPrimary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMaxPollPrimary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpBurstFlagPrimary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpServiceActivePrimary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="createNtpSecondary">
+ <description>This action creates the secondary/alterative Network Time Protocol.
+There can only exist one primary and one secondary ntp.
+
+Once the ntp is created it is possible to change the attributes, i.e ip address, burst flag, max- and min poll and which is the active one.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ntpServerAddressSecondary">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMinPollSecondary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMaxPollSecondary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpBurstFlagSecondary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpServiceActiveSecondary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="deleteNtpPrimary">
+ <description>This action deletes the primary NTP.
+
+An exception will be thrown if the primary attributes are accessed (set and get).
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deleteNtpSecondary">
+ <description>This action deletes the secondary NTP.
+
+An exception will be thrown if the secondary attributes are accessed (set and get).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="addDhcpServerAddress">
+ <description>Takes an array of Ip addresses (Strings), and adds them to the list held by the Dhcp Relay Agent fRO.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddressArray">
+ <description>Elements are assumed to have the format of a String with 4 fields of numerics, each field separated with a dot. E.g. 123.322.34.123. An empty array or empty string in first element is not allowed. Max 10 elements.</description>
+ <in/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="removeDhcpServerAddress">
+ <description>Takes an array of Ip addresses (Strings), and removes them from the list held by the Dhcp Relay Agent fRO.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddressArray">
+ <description>Elements are assumed to have the format of a String with 4 fields of numerics, each field separated with a dot. E.g. 123.322.34.123. An empty array or empty string in first element is not allowed. Max 10 elements.</description>
+ <in/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="setNodeUniqueId">
+ <description>Used for setting the nodeUniqueId attribute. This method should only be used in the factory, i.e. it is not for general use by the operator.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="nodeUniqueId">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="SwitchExtensionUnit">
+ <description>This MO represents the Switch Extension Unit, SXU, board. The board may only be inserted in slot 2-27 (in a standard subrack).
+If redundant links are used, two boards must be inserted next to each other.
+The board has a number of switch internal link ports.</description>
+ <attribute name="SwitchExtensionUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>This attribute is used to hold a user friendly name of the object if needed.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSil">
+ <description>The administrative state of switch internal link ports on the switch board.
+The attribute refers to all ports (locking will lock all ports, unlocking will unlock all ports).
+SIL- switch internal link.
+
+</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSil">
+ <description>Operational State for all ports. Operational state is enabled if one of the eight ports is enabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSync">
+ <description>Operational State for network synchronization distribution</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSil">
+ <description>The availability state of switch internal link (sil) ports.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSync">
+ <description>The availability state of the sync link.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the action Reserve.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SilPorts">
+ <description>The attribute provides a list of port numbers.
+The port number is between 0-7 and is used to read state of the switch internal port.
+Se action getSilPortState, getSilOperState and getSilAvailState.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="getSilPortState">
+ <description>Returns status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="SilPortState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilOperState">
+ <description>Returns operational status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="OperState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilAvailState">
+ <description>Returns availability status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Aal2PathDistributionUnit">
+ <description>This MO is used to represent an AAL2 Path Distribution Unit. Such a unit handles a set of Aal2PathVccTps that belong to the same Aal2 AP and that are handled by the same Path Resource Handling Program.
+This Path Resource Handling Program is referenced by the rpuId attribute.</description>
+ <attribute name="Aal2PathDistributionUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>The physical location of a CPS RC (Common Part Sub-Layer Resource Control) program represented by a reference to a ReliableProgramUniter.
+
+Note that one ReliableProgramUniter MO may only be referenced once under one Aal2Ap. That means all Aal2PathDistributionUnits must reference different ReliableProgramUniter MO's.
+
+Two Aal2PathDistributionUnits under different Aal2Ap's may however reference the same ReliableProgramUniter MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal2PathVccTpList">
+ <description>List of references to Aal2PathVccTp MO:s.
+Note that setting of this attribute removes the current list of Aal2PathVccTp's.
+Each reference must be unique among all paths within all Aal2PathDistributionUnit's belonging to the same Aal2Ap.</description>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="addPath">
+ <description>This action adds a list of Aal2PathVccTps to an Aal2PathDistributionUnit.
+
+If the data contains a reference to a non existing path, the exception InvalidReference is raised.
+
+If the path list contains a path that has a non-unique aal2PathId value, then the exception Aal2PathIdNotUnique is raised. The pathId value must be unique within all Aal2PathDistributionUnits under the same Aal2Ap.
+
+If the path list contains a path that already belongs to a Aal2PathDistributionUnit, then the exception PathAlreadyRegistered is raised.
+
+Note! Adding one or more Aal2PathVccTps using this action updates the attribute 'Aal2PathVccTpList'.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aal2PathVccTpId">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidReferenceException"/>
+ <raisesException name="Aal2PathIdNotUniqueException"/>
+ <raisesException name="PathAlreadyRegisteredException"/>
+ </action>
+ <action name="removePath">
+ <description>This action removes a list (sequence) of AAL2 path's from the Aal2PathDistributionUnit.
+
+If the path list contains a path that is not in this Aal2PathDistributionUnit, the exception NotInGroup is raised.
+
+Note! Removing one or more Aal2PathVccTps using this action updates the attribute 'Aal2PathVccTpList'.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aal2PathList">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="NotInGroupException"/>
+ </action>
+ </class>
+
+ <class name="Fan">
+ <description>The Fan is used for cooling the Cello-subrack and is connected to one or two
+SCU (switch core board). This MO is used for controlling and sending alarm from
+the Fan.
+
+Note, either the fan can be supplied by Cello and Fan MO is used, or alternatively the fan can supplied by a source external to Cello and therefore a ManagedObject MO is used to represent the fan.
+
+</description>
+ <attribute name="FanId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrativeState of Fan MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="connectedToScu">
+ <description>A Fan must always be connected to a Switch Core unit that mediates the communication with a main processor.
+
+In this attribute it is possible to see which SwichCoreUnit that the fan is connected to.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwitchCoreUnit"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state is set to 'enabled' when the Fan has loaded and started its load module.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="piuType">
+ <description>A reference to an available PiuType at create of a Fan.
+</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="PiuType"/>
+ </dataType>
+ </attribute>
+ <attribute name="controllingState">
+ <description>The application that controls the Fan has three main states:
+- standalone: running on itself, not manageable
+- autonomous: manageable state
+- noiseReduced: temporary state with reduced cooling
+
+NOTE: This attribute is not supported in CPP 4.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="FanState">
+ <defaultValue>standalone</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="hdlcAddress">
+ <description>The physical address of the RS-485 communication link.
+Incorrect setting of the address can cause collision with other connected auxiliary units or lost connection. The default value should be suitable for most configurations.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <action name="restartFan">
+ <description>A restart will cause the Fan to be initiated, re-booted and all load modules to be reloaded from persistent memory. This is also known as a forced reload.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="restartCause">
+ <in/>
+ <dataType>
+ <enumRef name="RestartCause">
+ </enumRef>
+ </dataType>
+ </parameter>
+ <raisesException name="EquipException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ </action>
+ </class>
+
+ <class name="Mtp2TpItu">
+ <description>This MO represents a MTP2 Termination Point for the ITU standard, as defined in Recommendation Q.703 07/96.
+
+The performance monitoring counters found in Mtp2Itu MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a hardware fault, aal1 server/adm restart or node restart.
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard
+which is NOT the ITU standard.
+
+NOTE: There is a restriction of a maximum of 32 Mtp2Tp's per MP.</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2TpItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP2 Signalling Terminal.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp2ProfileItuId">
+ <description>Reference to a Mtp2ProfileItu MO.
+
+Note: The bitRate can not be changed.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <moRef name="Mtp2ProfileItu"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReTransmittedOctets">
+ <description>Number of re-transmitted octets.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNacks">
+ <description>Number of negative acknowledgements received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuReceivedInError">
+ <description>Number of signal units received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfStartedRBCongestion">
+ <description>Number of started local RB congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSendBufferOctets">
+ <description>Number of octets in send buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLocalSIBTime">
+ <description>Total time in local SIB (Sending).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRemoteSIBTime">
+ <description>Total time in remote SIB (Receiving).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFTransmitted">
+ <description>Number of SIO &amp; SIF octets transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the list of reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Mtp3bSlItu"/>
+ </dataType>
+ </attribute>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>The PlugInUnit MO that this MO references.
+It indicates on which processor the Termination Point will be.
+
+Note: The PlugInUnit must be of type GPB.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUTransmitted">
+ <description>Number of MSUs transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFReceived">
+ <description>Number of SIO and SIF octets received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUReceived">
+ <description>Number of MSUs received..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2TpAnsi">
+ <description>This MO represents a MTP2 Termination Point for the ANSI standard , as defined in T1.111-1996.
+
+The performance monitoring counters found in Mtp2Ansi MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a hardware fault, aal1 server/adm restart or node restart.
+
+NOTE: This MO cannot in anyway be related (either contained by or dependent on) an MO that belongs to a
+standard which is NOT the ANSI standard.
+
+NOTE: There is a restriction of a maximum of 32 Mtp2Tp's per MP.</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2TpAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP2 Signalling Terminal.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp2ProfileAnsiId">
+ <description>Reference to a Mtp2ProfileAnsi MO.
+
+Note: The bitRate can not be changed.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <moRef name="Mtp2ProfileAnsi"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReTransmittedOctets">
+ <description>Number of re-transmitted octets.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNacks">
+ <description>Number of negative acknowledgements received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuReceivedInError">
+ <description>Number of signal units received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfStartedRBCongestion">
+ <description>Number of started local RB congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSendBufferOctets">
+ <description>Number of octets in send buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLocalSIBTime">
+ <description>Total time in local SIB (Sending).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRemoteSIBTime">
+ <description>Total time in remote SIB (Receiving).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFTransmitted">
+ <description>Number of SIO &amp; SIF octets transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUReceived">
+ <description>Number of MSUs received..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Mtp3bSlAnsi"/>
+ </dataType>
+ </attribute>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>The PlugInUnit MO that this MO references.
+It indicates on which processor the Termination Point will be.
+
+Note: The PlugInUnit must be of type GPB.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUTransmitted">
+ <description>Number of MSUs transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFReceived">
+ <description>Number of SIO and SIF octets received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Ds0Bundle">
+ <description>The Ds0Bundle, Digital Signalling Level 0, MO represents a number of timeslots on a physical port.
+Any of E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp or T1Ttp.
+The Ds0Bundle can be used in three different ways:
+
+- Fractional ATM
+
+Please refer to the AtmPort MO for details. Note that the TdmMode attribute
+must be disabled.
+
+-Circuit emulation
+
+Please refer to Aal1VccTp MO for details. Note that the TdmMode attribute
+must be disabled. Note that only 4 Ds0Bundle's per port can be configured
+when running circuit emulation.
+
+- TDM Switching
+
+The Ds0Bundle is reserved for switching node internal Ds0 connections. In
+this mode, the tdmMode attribute should be enabled.
+
+
+</description>
+ <attribute name="Ds0BundleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availabiltity status of Ds0Bundle.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of Ds0Bundle.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="listOfTimeSlots">
+ <description>The list gives the time slots (within ATM traffic fraction) that are to be allocated to the Ds0Bundle.
+
+When a Ds0Bundle is contained by a E1PhysPathTerm the slots that can be placed in this list are 1 to 31.
+When a Ds0Bundle is contained by a J1PhysPathTerm then the slots that can be placed in this list are 1 to 24.
+When a Ds0Bundle is contained by a T1PhysPathTerm then the slots that can be placed in this list are 1 to 24.
+When a Ds0Bundle is contained by a E1Ttp the slots that can be placed in this list are 1 to 31.
+
+Note: the same timeslot cannot appear more than once in the list! If a E1/J/T1PhysPathTerm contains more than
+one Ds0Bundle, then the Ds0Bundles listOfTimeSlots must be mutually exclusive (i.e. slots in one list cannot be used in another list).
+
+Note! If the Ds0Bundle is used for carrying SS7 traffic, the listOfTimeSlots can only contain 1 (one) time slot.
+In this case that is if the Ds0Bundle is reserved by an Mtp2TpAnsi, Mtp2TpItu or Mtp2TpChina.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <long>
+ <range>
+ <min>0</min> <max>31</max>
+ </range>
+ </long>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="tdmMode">
+ <description>The state of tdmMode (Time Division Multiplexing), can be disabled/enabled.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal1TpVccTp">
+ <description>The Aal1TpVccTp MO represents the Aal1 interworking function in a circuit emulation. The object refers to a Ds0Bundle which represents one end of the circuit emulation, and a VclTp which represents the other end.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="partialFill">
+ <description>Indicates how many bytes are filled in each ATM cell. The value 47 indicates that the partial filled cells is not used, i.e. all the cells are filled completely.
+
+Partial filling of cells in used in circuit emulation. It is used to reduce packetisation delay. Refer to the ATM Forum specifications for more details.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>23</min> <max>47</max>
+ </range>
+ <defaultValue>47</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="cdvt">
+ <description>Specifies the cell delay variation tolerance. Refer to ATM Forum specifications for more details.
+
+Unit is micro seconds.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>100</min> <max>4000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of Aal1TpVccTp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second.
+
+Activates VC end to end continuityCheck according to ITU.T rec I.610.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>Controls the activation of PM counters and F5 PM flow on the VC connection.</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlockSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for forwarded lost cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forwarded missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forwarded errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="Aal1TpVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>The VclTp MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSpAnsi">
+ <description>Mtp3b Signalling Point for the ANSI standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the Ansi standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpAnsi MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ANSI standard.
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.</description>
+ <attribute name="Mtp3bSpAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The network indicator, NI, is used for discrimination of messages between functionally different networks.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkInd">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 24)-1 (16777215).
+The SignallingPointCode (SPC) is normally grouped together as three numbers wich correspond to network identifier, network cluster, network cluster member. The three numbers are coded as 8-8-8 bits in the total SPC. To enter the SPC-value, you have to convert the 3 numbers to their binary code and then convert the whole 24-bit patterna as an integer. Sometimes the SPC is used as a whole uniqe number (no internal structure).
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16777215</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+Minimum string length 2 characters, maximum 30 characters. Allowed characters are 0-9, A-F.
+Only even number of characters is allowed.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>2</min> <max>30</max>
+ </lengthRange>
+ <defaultValue>"A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="transFrHandler">
+ <description>Determines how a TransFer Restricted signal (TFR) should be treated.
+</description>
+ <dataType>
+ <enumRef name="Mtp3bTfrHandling">
+ <defaultValue>IGNORED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="sioSpare">
+ <description>The sioSpare2 attribute determines if the two spare bits in the SIO (Service Information Octet) should be treated as spare.</description>
+ <dataType>
+ <enumRef name="Mtp3bSioSpareBitsUse">
+ <defaultValue>PRIO</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="m3uaNoOfAttempsOfDauds">
+ <description>For M3UA, number of attempts to send the DAUD message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfAttempsOfAssociationEstablishment">
+ <description>Number of attempts to send the SCTP_ASSOCIATE_REQ message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65535</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sendSltmBefLink">
+ <description>The sendSltmBefLink attribute determines if the SLTM (Signalling Link Test Message) should be sent and a SLTA received before the link is considered to be in service.
+
+Note! It is not recommended nor is it in accordance with standard to set the value of this attribute to 'false' </description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the ANSI priority attributes.
+</description>
+ <dataType>
+ <structRef name="Mtp3bSpAnsiPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing ANSI specific timer data.</description>
+ <dataType>
+ <structRef name="Mtp3bSpAnsiTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTimerT21WasStarted">
+ <description>Performance monitoring counter for number of times the timer T21 was started (i.e. how many times the remote inhibit test message has been sent).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocAbort">
+ <description>The number of successful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocShutDown">
+ <description>The number of succesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocAbort">
+ <description>The number of unsuccessful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocShutDown">
+ <description>The number of unsuccesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIncomingAssocEstabRequestInStateDownWhenStateEstabIsBlocked">
+ <description>The number of incoming request for association establishment when state on the association is 'DOWN' and establishment of associations is blocked. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocEstabReached">
+ <description>The number of times that the max limit for trying to establish an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocActivReached">
+ <description>The number of times that the max limit for trying to activate an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLowerPrioMsgDiscarded">
+ <description>The number of messages with low priority that has been discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSpItu">
+ <description>Mtp3b Signalling Point for the ITU standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the ITU standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpItu MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ITU standard.
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.
+
+NOTE: The value of the attribute 'version' is valid for all SPs (Mtp3bSpItu MOs) and the value of the last created or changed SP will be used for all SPs.</description>
+ <attribute name="Mtp3bSpItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The networkInd attribute (NI) is used by signalling message handling functions in MTP3b
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkInd">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 14)-1 (16383).
+The SignallingPointCode (SPC) is normally used as a whole unique number (no internal structure). But sometimes the SPC is grouped together as three numbers which corresponds to zone, area/network, signalling point. If this is the case the three numbers are coded as 3-8-3 bits in the total SPC. To enter the SPC-value then you have to convert the three numbers to their binary code and then convert the whole 14-bit pattern as an integer.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16383</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="version">
+ <description>This attribute shows what MTP3b ITU standard the system follows. With ITU, it is possible using this attribute to set which of the ITU standard versions that shall be followed.
+
+Note! Setting of another value than 'ITU_T_07_96' at create will have no effect. The Signaling Point will always be created with the value 'ITU_T_07_96'.
+
+Note! It is not possible to hav signaling points with different versions in the node so setting of this attribute will effect all signaling points. The value for the last created or changed signaling point will be used for all signaling points.</description>
+ <dataType>
+ <enumRef name="Mtp3bItuVersion">
+ <defaultValue>ITU_T_07_96</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.
+
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="transFrHandler">
+ <description>Determines if a TransFer Restricted signal (TFR) should be treated as a TFA (TransFer Allowed signal) or be ignored.
+</description>
+ <dataType>
+ <enumRef name="Mtp3bTfrHandling">
+ <defaultValue>IGNORED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="sioSpare">
+ <description>The sioSpare2 attribute determines if the two spare bits in the SIO (Service Information Octet) should be treated as spare.</description>
+ <dataType>
+ <enumRef name="Mtp3bSioSpareBitsUse">
+ <defaultValue>PRIO</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sendSltmBefLink">
+ <description>The sendSltmBefLink attribute determines if the SLTM (Signalling Link Test Message) should be sent and a SLTA received before the link is considered to be in service.
+
+Note! It is not recommended nor is it in accordance with standard to set the value of this attribute to 'false' </description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+Minimum string length 2 characters, maximum 30 characters. Allowed characters are 0-9, A-F.
+Only even number of characters is allowed.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>2</min> <max>30</max>
+ </lengthRange>
+ <defaultValue>"A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the priority attributes.
+</description>
+ <dataType>
+ <structRef name="Mtp3bSpItuPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing ITU specific timer attributes</description>
+ <dataType>
+ <structRef name="Mtp3bSpItuTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="m3uaNoOfAttempsOfDauds">
+ <description>For M3UA, number of attempts to send the DAUD message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfAttempsOfAssociationEstablishment">
+ <description>Number of attempts to send the SCTP_ASSOCIATE_REQ message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65535</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTimerT21WasStarted">
+ <description>Performance monitoring counter for number of times the timer T21 was started (i.e. adjacent SP restarted).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocAbort">
+ <description>The number of successful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocShutDown">
+ <description>The number of succesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocAbort">
+ <description>The number of unsuccessful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocShutDown">
+ <description>The number of unsuccesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIncomingAssocEstabRequestInStateDownWhenStateEstabIsBlocked">
+ <description>The number of incoming request for association establishment when state on the association is 'DOWN' and establishment of associations is blocked. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocEstabReached">
+ <description>The number of times that the max limit for trying to establish an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocActivReached">
+ <description>The number of times that the max limit for trying to activate an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLowerPrioMsgDiscarded">
+ <description>The number of messages with low priority that has been discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSpTtc">
+ <description>Mtp3b Signalling Point for the TTC standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the TTC standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpTtc MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a
+standard which is NOT the TTC standard.
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.</description>
+ <attribute name="Mtp3bSpTtcId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The networkInd attribute (NI) is used by signalling message handling functions in MTP3b.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkIndTtc">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 16)-1 (65535).
+The SignallingPointCode (SPC) is always used as a whole unique number (no internal structure).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.
+
+Note! Only SEP and STP is supported for the Ttc standard.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+String length 4 characters. Allowed characters are 0-9, A-F.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>4</min> <max>4</max>
+ </lengthRange>
+ <defaultValue>"7711"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the TTC priority attributes.
+</description>
+ <dataType>
+ <structRef name="Mtp3bSpTtcPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing TTC timer attributes.</description>
+ <noNotification/>
+ <dataType>
+ <structRef name="Mtp3bSpTtcTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSlAnsi">
+ <description>This MO represents an MTP3b Signalling Link for the ANSI standard. It represents the termination of the signalling link within the signalling point as defined in T1.111-1996.
+
+The total amount of links between any two specific nodes in the network shall not be more than 80 independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to. A maximum of five linksets with a maximum of 16 links in each linkset can be configured. A maximum of 16 links between two adjacent nodes can be configured.
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ANSI standard.
+
+The performance monitoring counters found in Mtp3SlAnsi MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).</description>
+ <attribute name="Mtp3bSlAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSlAnsi.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol.
+
+For narrowband this signalling link will be connected to an MTP L2 termination point (Mtp2Tp MO). And for broadband it will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSlAnsi.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The signLinkCode for a link must be unique between the nodes,
+independent on in which linkSet the link belongs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3bSL should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+
+Note! This counter is incremented every time a link out of service indication is received for the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="deactivate">
+ <description>This action deactivates the signalling link.
+ It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="activate">
+ <description>This action activates the signalling link with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the signalling link with emergency alignment.
+ It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="localInhibit">
+ <description>This action inhibits the Mtp3bSlAnsi. Signalling link management inhibiting is requested by management when it becomes necessary, e.g for maintenance or testing purposes to make or keep a signalling link unavailable to User Part-generated signalling traffic.
+Inhibiting of a signalling link may be requested by management function at either end of the link. The request is granted, provided that the inhibiting action does not cause any previously accessible destinations to become inaccessible at either end of the signalling link. The request may also be refused under certain circumstances such as congestion.
+Exception FroRequestFailed if it was not possible to inhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="localUninhibit">
+ <description>This action uninhibits the Mtp3bSlAnsi.
+
+Exception FrorequestFailed if it was not possible to uninhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSlItu">
+ <description>This MO represents an MTP3b Signalling Link for the ITU standard. It represents the termination of the signalling link within the signalling point as defined in Q.704 and Q.2210 (07/96).
+
+The total amount of links between any two specific nodes in the network shall not be more than 16, independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to.
+
+The performance monitoring counters found in Mtp3SlItu MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ITU standard.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol.
+
+For narrowband this signalling link will be connected to an MTP L2 termination point (Mtp2Tp MO). And for broadband it will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSl.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSl.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The signLinkCode for a link must be unique between the nodes,
+independent on in which linkSet the link belongs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3b Signalling Link should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="prioBeforeSio">
+ <description>This Boolean tells if the message priority shall be inserted in an octet before the Service Indicator Octet (SIO).
+
+ITU default is false.
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+
+Note! This counter is incremented every time a link out of service indication is received for the link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp3bSlItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="localInhibit">
+ <description>This action inhibits the Mtp3bSlItu. Signalling link management inhibiting is requested by management when it becomes necessary, e.g for maintenance or testing purposes to make or keep a signalling link unavailable to User Part-generated signalling traffic.
+Inhibiting of a signalling link may be requested by management function at either end of the link. The request is granted, provided that the inhibiting action does not cause any previously accessible destinations to become inaccessible at either end of the signalling link. The request may also be refused under certain circumstances such as congestion.
+Exception FroRequestFailed if it was not possible to inhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="localUninhibit">
+ <description>This action uninhibits the Mtp3bSlItu.
+
+Exception FrorequestFailed if it was not possible to uninhibit.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="deactivate">
+ <description>This action deactivates the Mtp3bSl.
+It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="activate">
+ <description>This action activates the Mtp3bSl with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the Mtp3bSl with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="Mtp3bSlTtc">
+ <description>This MO represents an MTP3b Signalling Link for the TTC standard. It represents the termination of the signalling link within the signalling point as defined in JT-Q.704 Ver. 3, April 28 1992 and JT-Q.2210 Ver.1 April 24 1996.
+
+The total amount of links between any two specific nodes in the network shall not be more than 16, independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to.
+
+The performance monitoring counters found in Mtp3SlTtc MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the TTC standard.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol. Only BroadBand is supported for TTC. The MO will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSl.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSl.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The code have to be uniqe in this signal link set, i.e the signallingLinkCode for
+all the Mtp3bSl that belongs to the same Mtp3bSls must be unique(mutual exclusive). </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3b Signalling Link should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="prioBeforeSio">
+ <description>This Boolean tells if the message priority shall be inserted in an octet before the Service Indicator Octet (SIO).
+
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp3bSlTtcId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="deactivate">
+ <description>This action deactivates the Mtp3bSl.
+It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="activate">
+ <description>This action activates the signalling link with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the signalling link with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Mtp2ProfileAnsi">
+ <description>The MTP 2 Profile MO represents and keeps attribute values used by one or more MTP 2 ANSI termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>This MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2ProfileAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>Struct containing profile data.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="Mtp2ProfileDataAnsi"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of Mtp2TpAnsi MOs that have reserved this Mtp2TpProfileAnsi MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp2TpAnsi"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2ProfileItu">
+ <description>The MTP 2 Profile MO represents and keeps attribute values used by one or more MTP 2 ITU termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>The MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2ProfileItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>Struct containing profile data.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="Mtp2ProfileDataItu"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of Mtp2TpItu MOs that have reserved this Mtp2TpProfileItu MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp2TpItu"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="E1Ttp">
+ <description>This MO represents a channelised E1 interface in the node. The E1Ttp (Trail Termination Point) MO
+does not define which type of traffic (TDM or ATM) that the interface should support. Please refer to
+the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+The performance monitoring counters in the E1Ttp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! This MO is automatically created as a consequence of creating an Os155SpiTtpMo
+Please refer to the Os155SpiTtpMo for more details.
+
+</description>
+ <systemCreated/>
+ <attribute name="degDegThr">
+ <description>The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="degDegM">
+ <description>How many bad seconds in a row that causes a Degraded Signal alarm.
+
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="crc4Mode">
+ <description>Indicates what Cyclical Redundancy Check 4 Mode that is being used.</description>
+ <dataType>
+ <enumRef name="Crc4Mode">
+ <defaultValue>AUTOMATIC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter of Errored Seconds (ES).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Severely Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="E1TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identityof the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Vc4Ttp">
+ <description>This MO is automatically created as a consequence of creating an Os155SpiTtp object if any of the following conditions are true:
+a) standardmode = ETSI or
+b) standardmode = TTC and muxmode = VC4_STS3CSPE_LEVEL.
+
+It represents the termination of the SDH VC-4 path layer. The VC-4 can either be used for channelised access, in which case VC-12 MOs are created as children to the Vc4Ttp MO, or be used to carry ATM traffic, in which case there is a relationship between the AtmPort MO and the Vc4Ttp MO.
+
+Note! The performance monitoring counters in the Vc4TtpMO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <systemCreated/>
+ <attribute name="pathTraceFormat">
+ <description>Indicates the format of the expectedPathtrace and transmittedPathtrace attributes. The format may be in 16 or 64 characters. Usually 16 is used for ETSI and 64 for ANSI/TTC.
+
+ITU_T_G707=16
+GR_253_CORE=64
+
+Note that the 16 characters format requires the operator to specify 15 characters where no character contains the null character (the 16th character is added automatically).
+
+Note that the 64 characters format requires the operator to specify 62 ASCII characters all different from null followed by CR/LF.</description>
+ <dataType>
+ <enumRef name="PathTraceFormat">
+ <defaultValue>ITU_T_G707</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+Can be defined in two formats. The format may be in 16 or 64 characters. Usually 16 is used for ETSI and 64 for ANSI/TTC.
+
+Note: The string must be of 15 or 62 characters length, or empty string ("").
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+The string can be of 15 or 62 characters length, or empty string ("").
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled. This attribute is set to empty string every time attribute pathTraceFormat is set.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegThreshold">
+ <description>This threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+The attribute value is in unit of % (percent).</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegM">
+ <description>Indicates how many bad seconds in a row that causes a Degraded Signal alarm.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="auAisReporting">
+ <description>AU AIS reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcRdiReporting">
+ <description>VC RDI reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcEs">
+ <description>Performance monitoring counter for Virtual Container 4, VC4, Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcSes">
+ <description>Performance monitoring counter for Virtual Container 4, VC4, Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Vc4TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Os155SpiTtp">
+ <description>This MO represents the physical interface and the termination of the SDH Regenerator and Multiplexer Section Layers.
+
+When creating the Os155SpiTtp a number of additional objects will be created. Depending on the values of standardmode and muxmode different objects will be created as described below:
+
+muxmode = standby
+standardmode = ETSI, TTC, ANSI
+No objects under the Os155SpiTtp is created.
+
+muxmode = VC4_STS3CSPE_LEVEL
+standardmode = ETSI, TTC
+A Vc4 MO is created under the Os155SpiTtp MO.
+
+muxmode = VC4_STS3CSPE_LEVEL
+standardmode = ANSI
+A Sts3CspeTtp MO is created under the Os155SpiTtp MO.
+
+The following two configurations is only applicable for the ET-MC41 board.
+
+muxmode = E1_J1_T1_LEVEL
+standardmode = ETSI
+A Vc4 MO, 63 Vc12Ttp MO's and 63 E1Ttp MO's is created under the Os155SpiTtp MO
+
+muxmode = E1_J1_T1_LEVEL
+standardmode = ANSI
+3 Sts1CspeTtp MO's, 3*28 Vt15Ttp MO's and 3*28 TiTtp MO's is created under the Os155SpiTtp MO.
+
+The performance monitoring counters in the Os155SpiTtp MO is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! If the Os155SpiTtp is configured as part of an Mspg protection group and is configured as standby, muxMode set to standby, no children's to this MO will be created.</description>
+ <attribute name="Os155SpiTtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual line number.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="standardMode">
+ <description>The standard that this MO is operating to.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="StandardMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="muxMode">
+ <description>The level at which the STM-1/OC3 signal is demultiplexed.
+
+If parent is of type Etmc41, the valid muxMode is STANDBY or E1_J1_T1_LEVEL.
+If parent is of type Etm4, the valid muxMode is STANDBY or VC4_STS3CSPE_LEVEL.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="MuxMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="msDegThreshold">
+ <description>MS - multiplexer section.
+
+The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.
+
+Note: When operating to the ANSI standard, this attribute has no meaning.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="msDegM">
+ <description>MS - multiplexer section.
+
+How many bad seconds in a row that causes a Degraded Signal alarm.
+
+Note: When operating to the ANSI standard, this attribute has no meaning.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="msRdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="msAisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="loopBack">
+ <description>Enables or disables line loopback, i.e. the received signal is returned in the transmitted direction.
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="Os155LoopBack">
+ <defaultValue>NONE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmMsEs">
+ <description>Performance monitoring counter for Multiplexer Section, MS, Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmMsSes">
+ <description>Performance monitoring counter for Multiplexer Section, MS, Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Vc12Ttp">
+ <description>This MO is automatically created when the configuring the Os155SpiTtp. It represents the termination of the SDH VC-12 path layer.
+
+Note: This MO is only valid when the ETSI standard is in use.
+
+The performance monitoring counters in the Vc12TtpMO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <systemCreated/>
+ <attribute name="Vc12TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+
+Note: when 16 byte tracing is used, only 15 bytes should be used in the set action for this attribute.
+
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+Note: when 16 byte tracing is used, only 15 bytes should be used in the set action for this attribute.
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled.
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegThreshold">
+ <description>This threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+The attribute value is in unit of % (percent).</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegM">
+ <description>Indicates how many bad seconds in a row that causes a Degraded Signal alarm.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tuAisReporting">
+ <description>Timing Unit Alarm Indication Signal (TU AIS) reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcRdiReporting">
+ <description>VC RDI reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcEs">
+ <description>Performance monitoring counter for Virtual Container 12, VC12, Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcSes">
+ <description>Performance monitoring counter for Virtual Container 12, VC12, Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etmc1">
+ <description>This MO represents the hardware of the ET-MC1 board type. It only represents the ET specific hardware, the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-MC1 board contains 8 phyiscal ports that can be configured as either E1 (ETSI), J1 (TTC) or T1(ANSI). The board does not allow for a mix of E1, J1 and T1 ports, thus all configured ports on the board must be configured to the same standard.
+
+Each port on the ET-MC1 board can be used to carry either ATM traffic or circuit orientated (Nx64 Kbits/s connections) traffic.
+
+Each port can support up to 30 ATM VC connections. Two of these connections are reserved for AAL2 paths, and therefore two AAL2 multiplexers per port are available.
+
+Each port can support up to 2 VP connections.
+
+The ET-MC1 port supports one bidirectional F4/F5 PM flow per port.
+
+At the VP/VC endpoints, the Lost FPM/BR cells counters are not available.
+
+Note! The ETMC1 supports IMA.
+
+For more details on restrictions please refer to 155 17-21/CSX 101 09 Uen.
+
+Note! When the Etmc1 is part of the Cbu it is only possible to configure 4 physical ports of type E1, J1 or T1 on the Etmc1 board.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ETMC1 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etmc1Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etmc41">
+ <description>This MO represents the hardware of the ET-MC41 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-MC41 board contains a 155 Mbits/s physical optical port. The board can be configured as a E1 channelised board providing 63 logical E1 interfaces.
+
+Each E1 logical channel on the ET-MC41 can be used to carry either ATM traffic or circuit oriented (Nx64 kbit/s) traffic.
+
+Each E1 logical channel can support up to 30 ATM VC connections. Two of these connections are reserved for aal2 paths, and therefore two AAL2 multiplexers per E1/DS1/J1 channel are available.
+
+Each E1/DS1/J1 channel can suport up to 2 VP connections.
+
+The ET-MC41 board support one biderectional F4/F5 PM flow per E1 channel.
+
+Note! The ETMC41 supports IMA. However the E1 ports being part of the same IMA group can not be selected randomly.
+
+Note! The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 1 / port
+
+For more details on restrictions please refer to 155 17-21/CSX 101 09 Uen.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ET-MC41 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etmc41Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2ProfileChina">
+ <description>The MTP2 Profile MO represents and keeps attribute values used by one or more MTP2 China termination point MOs.</description>
+ <attribute name="Mtp2ProfileChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="Mtp2ProfileDataChina"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of Mtp2TpChina MOs that have reserved this Mtp2TpProfileChina MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp2TpChina"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>The MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2TpChina">
+ <description>This MO represents a MTP 2 termination point for the China standard, as defined in GF001-9001, plus supplement 1-3.
+
+The performance monitoring counters found in Mtp2China MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a hardware fault, aal1 server/adm restart or node restart.
+
+NOTE: This MO cannot in anyway be related (either contained by or dependent on) an MO that belongs to a standard
+which is NOT the China standard.
+
+NOTE: There is a restriction of a maximum of 32 Mtp2Tp's per MP.
+
+</description>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="mtp2ProfileChinaId">
+ <description>Reference to a Mtp2ProfileChina MO.
+
+Note: The bitRate can not be changed.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <moRef name="Mtp2ProfileChina"/>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2TpChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP2 Signalling Terminal.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmLocalSIBTime">
+ <description>Total time in local SIB (Sending).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUTransmitted">
+ <description>Number of MSUs transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNacks">
+ <description>Number of negative acknowledgements received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuReceivedInError">
+ <description>Number of signal units received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReTransmittedOctets">
+ <description>Number of re-transmitted octets.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSendBufferOctets">
+ <description>Number of octets in send buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFReceived">
+ <description>Number of SIO and SIF octets received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFTransmitted">
+ <description>Number of SIO &amp; SIF octets transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfStartedRBCongestion">
+ <description>Number of started local RB congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRemoteSIBTime">
+ <description>Total time in remote SIB (Receiving).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Mtp3bSlChina"/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>The PlugInUnit MO that this MO references.
+It indicates on which processor the Termination Point will be.
+
+Note: The PlugInUnit must be of type GPB.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUReceived">
+ <description>Number of MSUs received..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSlChina">
+ <description>This MO represents an MTP3b Signalling Link for the China standard. It represents the termination of the signalling link within the signalling point as defined in GF001-9001, plus supplement 1-3.
+
+The total amount of links between any two specific nodes in the network shall not be more than 16, independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to.
+
+The performance monitoring counters found in Mtp3SlChina MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard that is NOT the China standard.</description>
+ <attribute name="Mtp3bSlChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSl.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3b Signalling Link should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSl.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioBeforeSio">
+ <description>This Boolean tells if the message priority shall be inserted in an octet before the Service Indicator Octet (SIO).
+
+China default is false.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The signLinkCode for a link must be unique between the nodes,
+independent on in which linkSet the link belongs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol.
+
+For narrowband this signalling link will be connected to an MTP L2 termination point (Mtp2Tp MO). And for broadband it will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+
+Note! This counter is incremented every time a link out of service indication is received for the link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="activate">
+ <description>This action activates the Mtp3bSl with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deactivate">
+ <description>This action deactivates the Mtp3bSl.
+It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the Mtp3bSl with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="localInhibit">
+ <description>This action inhibits the Mtp3bSlChina. Signalling link management inhibiting is requested by management when it becomes necessary, e.g for maintenance or testing purposes to make or keep a signalling link unavailable to User Part-generated signalling traffic.
+Inhibiting of a signalling link may be requested by management function at either end of the link. The request is granted, provided that the inhibiting action does not cause any previously accessible destinations to become inaccessible at either end of the signalling link. The request may also be refused under certain circumstances such as congestion.
+Exception FroRequestFailed if it was not possible to inhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="localUninhibit">
+ <description>This action uninhibits the Mtp3bSlItu.
+
+Exception FrorequestFailed if it was not possible to uninhibit.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSpChina">
+ <description>Mtp3b Signalling Point for the China standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the China standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpChina MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the China standard.
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.</description>
+ <attribute name="Mtp3bSpChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The network indicator, NI, is used for discrimination of messages between functionally different networks.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkInd">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="sendSltmBefLink">
+ <description>The sendSltmBefLink attribute determines if the SLTM (Signalling Link Test Message) should be sent and a SLTA received before the link is considered to be in service.
+
+Note! It is not recommended nor is it in accordance with standard to set the value of this attribute to 'false' </description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 24)-1 (16777215).
+The SignallingPointCode (SPC) is nornally used as a whole unique number (no internal structure). But sometimes the SPC is grouped together as three numbers which corresponds to zone, area/network, signalling point. If this is the case the three numbers are coded as 8-8-8 bits in the total SPC. To enter the SPC-value then you have to convert the three numbers to their binary code and then convert the whole 24-bit pattern as an integer.
+ </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16777215</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sioSpare">
+ <description>The sioSpare attribute determines if the two spare bits in the SIO (Service Information Octet) should be treated as spare.</description>
+ <dataType>
+ <enumRef name="Mtp3bSioSpareBitsUse">
+ <defaultValue>SPARE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the priority attributes.
+
+Note: The China and ITU priorityData is identical so the ItuPriorityData is used for China to avoid duplication of data.</description>
+ <dataType>
+ <structRef name="Mtp3bSpChinaPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing China specific timer attributes</description>
+ <dataType>
+ <structRef name="Mtp3bSpChinaTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+Minimum string length 2 characters, maximum 30 characters. Allowed characters are 0-9, A-F.
+Only even number of characters is allowed.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>2</min> <max>30</max>
+ </lengthRange>
+ <defaultValue>"A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="transFrHandler">
+ <description>Determines if a TransFer Restricted signal (TFR) should be treated as a TFA (TransFer Allowed signal) or be ignored.
+</description>
+ <dataType>
+ <enumRef name="Mtp3bTfrHandling">
+ <defaultValue>IGNORED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="m3uaNoOfAttempsOfDauds">
+ <description>For M3UA, number of attempts to send the DAUD message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfAttempsOfAssociationEstablishment">
+ <description>Number of attempts to send the SCTP_ASSOCIATE_REQ message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65535</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="version">
+ <description>This attribute shows what MTP3b ITU standard the system follows. With ITU, it is possible using this attribute to set which of the ITU standard versions that shall be followed.
+
+Note! Setting of another value than 'ITU_T_07_96' at create will have no effect. The Signaling Point will always be created with the value 'ITU_T_07_96'.
+
+Note! It is not possible to hav signaling points with different versions in the node so setting of this attribute will effect all signaling points. The value for the last created or changed signaling point will be used for all signaling points.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bItuVersion">
+ <defaultValue>ITU_T_07_96</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTimerT21WasStarted">
+ <description>Performance monitoring counter for number of times the timer T21 was started (i.e. adjacent SP restarted).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocAbort">
+ <description>The number of successful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocShutDown">
+ <description>The number of succesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocAbort">
+ <description>The number of unsuccessful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocShutDown">
+ <description>The number of unsuccesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIncomingAssocEstabRequestInStateDownWhenStateEstabIsBlocked">
+ <description>The number of incoming request for association establishment when state on the association is 'DOWN' and establishment of associations is blocked. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocEstabReached">
+ <description>The number of times that the max limit for trying to establish an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocActivReached">
+ <description>The number of times that the max limit for trying to activate an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLowerPrioMsgDiscarded">
+ <description>The number of messages with low priority that has been discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mspg">
+ <description>The MSPG (Multiplex Section Protection Group) object enables two different protection mechanism in Cello. First it enables a standard 1+1 MSP mechanism. A failure on the working line will thus cause a sub 50 ms switch to the standby line.
+Secondly the MSPG is used to enable equipment protection, whereas a failure of the working board will cause a switch to the standby board. While executing an equipment protection switch, the node on the other end of the multiplexer section, will experience a standard 1+1 MSP switch.
+
+It is possible to force traffic to one port/board by using administrative state.
+Setting administrative state to locked on the working board, will automatically cause an equipment switch to the standby board. Similarily setting administrative state to locked on the working port, will cause an MSP switch to the standby port.
+
+The process of configuring a MSP protection interface is as follows:
+1) Create the working interface as normal.
+2) Create the standby interface by creating an Os155SpiTtp with mux mode set to STANDBY (standby for protection).
+3) Create a MSPG object with references to the two Os155SpiTtp objects.
+
+Note! The ports selected for MSPG must be have the attribute standardMode configured in exactly the same way.
+
+Note! The Os155SpiTtp created for standby will not create any children, i.e no Vc4Ttp or Vc4Ttp, Vc12Ttp and E1Ttp will be created. Nor will object of type Sts1SpeTtp, Vt15Ttp or T1Ttp be created.</description>
+ <attribute name="os155SpiWorkingId">
+ <description>The working OS155 pyhiscal path termination.
+This is the administrative value.
+
+To retrive the Id of the current working port, use the selectedPort.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Os155SpiTtp"/>
+ </dataType>
+ </attribute>
+ <attribute name="os155SpiStandbyId">
+ <description>The standby OS155 physical path termination.
+This is the administrative value.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Os155SpiTtp"/>
+ </dataType>
+ </attribute>
+ <attribute name="switchMode">
+ <description>The switching mode.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="SwitchModes">
+ <defaultValue>AUTOMATIC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Mspg.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="MspgId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="selectedPort">
+ <description>The port currently selected by the MSPG. The selected port can only
+change due to a MSP or equipment switch.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Os155SpiTtp"/>
+ </dataType>
+ </attribute>
+ <attribute name="selectedBoard">
+ <description>The board currently providing the user traffic interface to the Cello switch.
+The only action that can change the selected board is an equipment switch.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="degCauseMsp">
+ <description>If set to true, then a swith is performed upon detection of DEG.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <action name="manualMspSwitch">
+ <description>Initiates a switch when there does not exist a defect.
+
+This action can fail for the following reasons:
+FailedResource - the switch was not possible due to a traffic affecting fault on the port to which the switch was requested (i.e. the one not currently selected).
+LockedResource - the port to which the switch is intended is administratively locked.
+UnknownReason - there exists another fault that prevents the action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FailedResourceException"/>
+ <raisesException name="LockedResourceException"/>
+ <raisesException name="UnknownReasonException"/>
+ </action>
+ </class>
+
+ <class name="T1PhysPathTerm">
+ <description>This MO represents an T1 (i.e. 1.5 Mbit/s) physical interface in the node. The T1PhysPathTerm MO does not define which type of traffic (TDM or ATM) that the interface should support.
+Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="T1PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter for Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Severly Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="transmissionMode">
+ <description>The type of transmission media.
+Refer to the TransmissionMode enumeration for details.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="TransmissionMode">
+ <defaultValue>S_133</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ImaGroup">
+ <description>IMA, Inverse Multiplexing for ATM, makes it possible to group several physical
+interfaces together to form a virtual physical pipe for atm. The capacity of this
+pipe is equal to the sum of each of the physical interfaces included in the
+group.
+
+When IMA should be configured, an ATMPort MO is created with reference to an
+IMAGroup MO rather than a pure physical interface MO.
+The IMAGroup MO in turn is created with a list of the physical port MO's that
+are included in the group. The physical port MO's must be of the same type
+and be located on the same ET board.
+
+For each of the physical port MO's included in the IMAGroup, an IMALink object
+is automatically created. The IMALink object is responsible for handling link
+specific performance and fault management related to IMA.
+
+The ports can be of type E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp, T1Ttp.
+
+The performance monitoring counters in the ImaGroup MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! A general restriction in Cello is that ports being part of the same IMA group
+must be located on the same board.
+
+Note! Only termination points on Etmc1 and Etmc41 boards are allowed as ImaLinks.
+
+Note! At least two links has to be configured in an Ima Group.</description>
+ <attribute name="ImaGroupId">
+ <description>The identity of the MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="physicalPortList">
+ <description>Holds a list of the physical ports connected to the ImaGroup.
+Can be of type:
+E1PhysPathTerm,
+J1PhysPathTerm,
+T1PhysPathTerm,
+E1Ttp,
+T1Ttp.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="requiredNumberOfLinks">
+ <description>The required number of links specifies the number of IMALinks that needs to be
+operational in order for the complete group to be operational. The bandwidth
+available for the ATMPort (and thus for creating VP/VC connections) is defined
+by this number.
+Setting the requiredNumberOfLinks field to K less than the number of physical
+links included in the IMAGroup, will reduce the bandwidth available to the atmport
+with the corresponding bandwidth but will also give the effect that K links
+failures can be tolerated with virtually no traffic disturbance.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="activeLinks">
+ <description>The number of active links in the ImaGroup.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ImaGroup.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmGrUasIma">
+ <description>This performance counter reflects the GrUasLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmGrFc">
+ <description>This performance counter reflects the GrFc attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmGrFcFe">
+ <description>This performance counter reflects the GrFcFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ImaLink">
+ <description>This MO is automatically created as a consequence of creating an IMAGroup or
+adding links to an IMAGroup.
+It provides IMA Link specific performance and fault management information.
+
+The links can be of type E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp or T1Ttp.
+
+The performance monitoring counters in the ImaLink MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! A general restriction in Cello is that ports being part of the same IMA group
+must be located on the same board.</description>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ImaLink.
+If the operationalState is disabled the ImaLink will be blocked for traffic.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmIvIma">
+ <description>This performance counter reflects the LvLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ImaLinkId">
+ <description>The identity of the MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmOifIma">
+ <description>This performance counter reflects the OifLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesIma">
+ <description>This performance counter reflects the SesLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesImaFe">
+ <description>This performance counter reflects the SesLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUasIma">
+ <description>This performance counter reflects the UasLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUasImaFe">
+ <description>This performance counter reflects the UasLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxUusIma">
+ <description>This performance counter reflects the TxUusLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxUusIma">
+ <description>This performance counter reflects the RxUusLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxUusImaFe">
+ <description>This performance counter reflects the TxUusLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxUusImaFe">
+ <description>This performance counter reflects the RxUusLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxFc">
+ <description>This performance counter reflects the TxFc attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxFc">
+ <description>This performance counter reflects the RxFc attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxFcFe">
+ <description>This performance counter reflects the TxFcFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxFcFe">
+ <description>This performance counter reflects the RxFcFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxStuffIma">
+ <description>This performance counter reflects the TxStuffLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxStuffIma">
+ <description>This performance counter reflects the RxStuffLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="uses">
+ <description>Reference to the physical port represented by this MO.
+Can be of types E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp or T1Ttp.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Sts3CspeTtp">
+ <description>This MO represents the termination of the SONET higher order paths.
+This MO is automatically created when creating the OS155SPITTP with mux mode
+set to VC4_STS3CSPE_LEVEL and standardMode set to ANSI.
+
+The performance monitoring counters in the Sts3CspeTtp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="Sts3CspeTtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Sts3CspeTtp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aisPReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiPReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+The string can be of 64 characters length, or empty string ("").
+The string shall consist of 62 ASCII characters followed by CR/LF.
+The empty string shall be coded with the first character equal to ASCII null (i.e. byte value 0) and the rest dummy or non-existing.
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled. This attribute is set to empty string every time attribute pathTraceFormat is set.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+
+The string shall consist of 62 ASCII characters followed by CR/LF.
+The empty string shall be coded with the first character equal to ASCII null (i.e. byte value 0) and the rest dummy or non-existing.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Identity of the AtmPort reserving this MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmEsp">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesp">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="WebServer">
+ <description>The WebServer MO represents the WebServer in the node.
+
+This object is used for configuration of the WebServer paths. By connecting this object to a
+SwAllocation MO that is connected to a Repertoire MO the WebServer paths will be
+automatically configured during system upgrade.
+
+The local distinguished name of this MO is ManagedElement=1, WebServer=1.
+
+The WebServer MO is created automatically by the system.</description>
+ <systemCreated/>
+ <attribute name="WebServerId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="webServerPaths">
+ <description>Paths that shall be used by the WebServer separated by the delimiter character ":".</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySwAllocation">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwAllocation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="IpAccessAutoConfig">
+ <description>This MO represents the Auto Configuration feature for the IpAccessHost's in Cello.
+
+</description>
+ <attribute name="IpAccessAutoConfigId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Hold a list of the identitys of the reserving IpAccessHost's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix">
+ <description>The network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nrOfUnusedAdresses">
+ <description>The number of addresses in the range that are not used.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="totalNrOfAddresses">
+ <description>The total number of addresses in the range.
+
+Note that the first address in the range will be the same one as the one for networkAddress.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="minIpAddress">
+ <description>The lowest value that can be used as host IP address. If autoConfig=ON for an IpAccessHost, the operator does not explicitly have to assign an Ip Address and prefixLength to the host. This is done by the Control Plane, based on the IpAccesAutoConfig identity specified for the IpAccessHost.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="maxIpAddress">
+ <description>The highest value that can be used as host IP address. If autoConfig=ON for an IpAccessHost, the operator does not explicitly have to assign an Ip Address and prefixLength to the host. This is done by the Control Plane, based on the IpAccesAutoConfig identity specified for the IpAccessHost.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="IpAccessHostMsb">
+ <description>This MO represents the Ip Access Host used for payload. This host type executes on a MSB board (Media Stream Board used by Media Gateway).
+
+Note! If autoConfig is set to ON, the Ip Addresses and the Prefixes for this MO is set by the IpAccessAutoConfig MO.
+
+Note! There can be a maximum of 128 host's in the node.
+
+Note! The references to Aal5TpVccTp MO's has to be set in pairs.
+
+Note! The IpAccessMsbHost must execute on the sam MP as the Aal5TpVccTp that are defined in the attributes 'aal5TpVccTpRef1' and 'aal5TpVccTpRef2'.
+
+Note! The 'administrativeState' has to be set to LOCKED in order to be able to delete this host.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ip Access Host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>DEGRADED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the Ip Access Host.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimer">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="dspId">
+ <description>The identity of the DSP (Digital Signalling Processor) on a MSB board.
+
+Note! The value of this attribute has to be unique among the host's that execute on the same board.</description>
+ <mandatory/>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpRef1">
+ <description>Holds a reference to an Aal5 termination point (Aal5TpVccTp MO).
+
+Note! The Aal5TpVccTp references are mutually exclusive.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpRef2">
+ <description>Holds a reference to an Aal5 termination point (Aal5TpVccTp MO).
+
+Note! The Aal5TpVccTp references are mutually exclusive.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfig">
+ <description>This attribute specifies whether the auto configuration feature for the Ip Access Host is used or not.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AutoConfigurationMode">
+ <defaultValue>OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigIdentity">
+ <description>The identity of the IpAccessAutoConfig MO used by this host.
+
+Note! This attribute can only be set if 'autoConfig' is set to 'ON'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessAutoConfig"/>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The IpAddress.
+
+Note! If autoConfig = OFF this attribute is mandatory.
+
+Note! If the autoConfiguration is used for this IpAccessHost (autoConfig = ON) this attribute cannot be set. This attribute is then set by the 'IpAccessAutoConfig MO'.
+
+Note! The IpAddress has to be unique within the node.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix">
+ <description>The network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.
+
+Note! If auto configuration is used (autoConfig = ON) this attribute cannot be set, the networkPrefix is then set in the ipAccessAutoConfig MO class.
+
+Note! If auto configuration is not used (autoConfig = OFF) this attribute must be set.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="linkStatusAddress">
+ <description>The link Status for Ip Address.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtu">
+ <description>The maximum transfer unit.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>72</min> <max>2048</max>
+ </range>
+ <defaultValue>1024</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ipDefaultTtl">
+ <description>The default value inserted into the Time-To-Live field of the IP header of datagrams originated at this entity,
+whenever a TTL value is not supplied by the transport layer protocol.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInReceives">
+ <description>The total number of input datagrams received from interfaces, including those received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInHdrErrors">
+ <description>The number of input datagrams discarded due to errors in their IP headers, including bad checksums, version number
+mismatch, other format errors, time-to-live exceeded, errors discovered in processing their IP options, etc.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInAddrErrors">
+ <description>The number of input datagrams discarded because the IP address in their IP header's destination field was not a
+valid address to be received at this entity. This count includes invalid addresses (e.g., 0.0.0.0) and addresses of
+unsupported Classes (e.g., Class E). For entities which are not IP routers and therefore do not forward datagrams,
+this counter includes datagrams discarded because the destination address was not a local address.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInUnknownProtos">
+ <description>The number of locally-addressed datagrams received successfully but discarded because of an unknown or
+unsupported protocol.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDiscards">
+ <description>The number of input IP datagrams for which no problems were encountered to prevent their continued processing, but which
+were discarded (e.g., for lack of buffer space). Note that this counter does not include any datagrams discarded while
+awaiting re-assembly.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDelivers">
+ <description>The total number of input datagrams successfully delivered to IP user-protocols (including ICMP).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutRequests">
+ <description>The total number of IP datagrams which local IP user-protocols (including ICMP) supplied to IP in requests for
+transmission. Note that this counter does not include any datagrams counted in ipForwDatagrams</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutDiscards">
+ <description>The number of output IP datagrams for which no problem was encountered to prevent their transmission to their
+destination, but which were discarded (e.g., for lack of buffer space). Note that this counter would include
+datagrams counted in ipForwDatagrams if any such packets met this (discretionary) discard criterion.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInMsgs">
+ <description>The total number of ICMP messages which the entity received.
+Note that this counter includes all those counted by icmpInErrors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInErrors">
+ <description>The number of ICMP messages which the entity received but determined as having
+ICMP-specific errors (bad ICMP checksums, bad length, etc.).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInTimeExcds">
+ <description>The number of ICMP Time Exceeded messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInParamProbs">
+ <description>The number of ICMP Parameter Problem messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInSrcQuenchs">
+ <description>The number of ICMP Source Quench messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInRedirects">
+ <description>The number of ICMP Redirect messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchos">
+ <description>The number of ICMP Echo (request) messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchoReps">
+ <description>The number of ICMP Echo Reply messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutMsgs">
+ <description>The total number of ICMP messages which this entity attempted to send.
+Note that this counter includes all those counted by icmpOutErrors.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutErrors">
+ <description>The number of ICMP messages which this entity did not send due to problems discovered within ICMP such as a lack of
+buffers. This value should not include errors discovered outside the ICMP layer such as the inability of IP to route the
+resultant datagram. In some implementations there may be no types of error which contribute to this counter's value.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutParmProbs">
+ <description>The number of ICMP Parameter Problem messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchos">
+ <description>The number of ICMP Echo (request) messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchoReps">
+ <description>The number of ICMP Echo Reply messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInDatagrams">
+ <description>The total number of UDP datagrams delivered to UDP users.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpNoPorts">
+ <description>The total number of received UDP datagrams for which there was no application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInErrors">
+ <description>The number of received UDP datagrams that could not be delivered for
+reasons other than the lack of an application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpOutDatagrams">
+ <description>The total number of UDP datagrams sent from this entity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="IpAccessHostMsbId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>Holds a reference to the PlugInUnit.
+
+Note that the 'plugInUnitId has to be the same as the one defined in the attribute 'processorId' found on the Aal5TpVccTpMo.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2QosProfile">
+ <description>This MO represents the definitions of properties for a set of Aal2 quality of service classes.</description>
+ <attribute name="Aal2QosProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassA">
+ <description>This attribute holds the values applicable for QoS Class A.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassA"/>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassB">
+ <description>This attribute holds the values applicable for QoS Class B.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassB"/>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassC">
+ <description>This attribute holds the values applicable for QoS Class C.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassC"/>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassD">
+ <description>This attribute holds the values applicable for QoS Class D.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassD"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving Aal2PathVccTp MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2QosCodePointProfile">
+ <description>This MO represents the QoS CodePoints for the different Aal2 QoS classes.</description>
+ <attribute name="Aal2QosCodePointProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointA">
+ <description>The Code Point for Class A.
+
+Recommended value for Class A, 128.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointB">
+ <description>The Code Point for Class B.
+
+Recommended value for Class B, 129.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointC">
+ <description>The Code Point for Class C.
+
+Recommended value for Class C, 130.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointD">
+ <description>The Code Point for Class D.
+
+Recommended value for Class D, 131.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds the identity of the reserving Aal2Ap Mo.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2Ap"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="M3uAssociation">
+ <description>This MO represents the M3UA Association part of the M3UA (MTP3-User Adaptaion Layer) that is a protocol
+for the transport of any SS7 MTP3-User signalling (e.g., ISUP and SCCP messages) over IP using the services
+of the Stream Control Transmission Protocol.
+
+Note that the local port number is hard coded to 2905.
+
+
+</description>
+ <attribute name="M3uAssociationId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving Signaling Route's (Mtp3bSr MO).
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp3bSr"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The Operational State of the M3Ua</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bSrsId">
+ <description>The identity of the Signalling Route Set used.</description>
+ <mandatory/>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bSrs"/>
+ </dataType>
+ </attribute>
+ <attribute name="sctpId">
+ <description>The SctpHost used by this association.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Sctp"/>
+ </dataType>
+ </attribute>
+ <attribute name="dscp">
+ <description>The DSCP, DiffServCodePoint, for the internal M3UA user.
+Only one DSCP value is valid and set by the first defined MO 'instance'.
+
+NOTE: The value set for the 'dscp' when creating the first M3uAssociation under a signaling point will also be used for all other M3uAssociation MO's that are created under this signaling point. So setting the value for the 'dscp' on other instances than the first one created will have no effect. Note that associations created under a different signaling point can have a different dscp-value. </description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>63</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="associationState">
+ <description>The possible states of an M3Ua association.
+
+Bit 1 = 1 indicates INACTIVE
+Bit 2 = 1 indicates ACTIVE
+Bit 3 = 1 indicates ESTABLISHED
+Bit 4 = 1 indicates DOWN
+
+These values are mutually exclusive.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="localIpMask">
+ <description>This attribute denotes whether single or multi homing is used.
+
+Note! that all M3uAssociations that refers to the same SCTP MO must have this attribute set to the same value.
+
+Note! If localipMask=2 or localipMask=3 . the attribute ipAddress2 or autoConfigIdentity2 in IpAccessHostGpb MO must be defined.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="HomingState">
+ <defaultValue>SINGLE_HOMED_1</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartAssociation">
+ <description>If true, the M3ua layer in the stack will after a restart, start up all signalling associations.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="remotePortNumber">
+ <description>The port number of the remote signalling point (SP).</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2905</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="remoteIpAddress1">
+ <description>Remote IpAddress 1, if not used the value should be an empty string.
+Range is 0.0.0.0 - 255.255.255.255
+
+Note! This address is concidered as the primary IP-address. In case of association establisment failure 'remoteIpAddress2' will be used.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="remoteIpAddress2">
+ <description>Remote IpAddress 2, if not used the value should be an empty string.
+Range is 0.0.0.0 - 255.255.255.255
+
+Note! This address cannot be the same as remoteIpAddress1. This address is used when trying to establish association in case association attempt using 'remoteIpAddress1' fails.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCommunicationLost">
+ <description>Number of communication losses.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCongestions">
+ <description>Number of congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDunaRec">
+ <description>Number of DUNA messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDavaRec">
+ <description>Number of DAVA messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSconRec">
+ <description>Number of SCON messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDupuRec">
+ <description>Number of DUPU messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDataMsgSent">
+ <description>The number of data messages sent on the associations related to this signalling point.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDunaSent">
+ <description>Number of DUNA messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDavaSent">
+ <description>Number of DAVA messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSconSent">
+ <description>Number of SCON messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDupuSent">
+ <description>Number of DUPU messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDataMsgRec">
+ <description>Number of DATA (payload data) messages received through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfErrorMsgRec">
+ <description>Number of ERROR messages received through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfErrorMsgSent">
+ <description>Number of ERROR messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNotifyMsgRec">
+ <description>Number of NOTIFY messages received through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDaudMsgSent">
+ <description>The number of daud messages sent on the associations related to this signalling point.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDaudMsgRec">
+ <description>The number of daud messages received on the associations related to this signalling point.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupSent">
+ <description>The number of ASPUP sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupReceived">
+ <description>The number of ASPUP received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnSent">
+ <description>The number of ASPDN sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnReceived">
+ <description>The number of ASPDN received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacSent">
+ <description>The number of ASPAC sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacReceived">
+ <description>The number of ASPAC received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaSent">
+ <description>The number of ASPIA sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaReceived">
+ <description>The number of ASPIA received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupAckSent">
+ <description>The number of ASPUP_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupAckReceived">
+ <description>The number of ASPUP_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnAckSent">
+ <description>The number of ASPDN_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnAckReceived">
+ <description>The number of ASPDN_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacAckSent">
+ <description>The number of ASPAC_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacAckReceived">
+ <description>The number of ASPAC_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaAckSent">
+ <description>The number of ASPIA_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaAckReceived">
+ <description>The number of ASPIA_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfM3uaDataMsgDiscarded">
+ <description>The number of data Messages discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="setAutoActivate">
+ <description>This action starts the association.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="setAutoDown">
+ <description>This action stops the association.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="Sctp">
+ <description>This MO represents the SCTP, Stream Control Transmission Protocol in Cello.
+SCTP is designed to transport signaling messages over IP networks.</description>
+ <attribute name="SctpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ip Access Host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="numberOfAssociations">
+ <description>The maximum number of M3uAssociations that can be handled by this SCTP MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>512</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the M3Ua's handled by the SCTP.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="M3uAssociation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="ipAccessHostGpbId">
+ <description>The identity of the IpAccessHostGbp reserved by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessHostGpb"/>
+ </dataType>
+ </attribute>
+ <attribute name="minimumRto">
+ <description>The minimum value for RTO (Retransmission time-out).
+The minimumRto shall be larger than tSack + expected Round Trip Time.
+
+Unit is second/100.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>4</min> <max>500</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maximumRto">
+ <description>The maximum value for RTO (Retransmission time-out).
+
+Unit is second/100.
+
+maximumRto must be larger than minimumRto.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>4</min> <max>180000</max>
+ </range>
+ <defaultValue>15</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="initialRto">
+ <description>The initial value that the RTO (Retransmission time-out) will take prior to the first RTT (Round Trip Time) measure.
+
+Note that the value for 'initialRto' has to be equal to or greater than 'minimumRto'.
+
+Unit is seconds/100.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>4</min> <max>180000</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rtoAlphaIndex">
+ <description>This parameter is used for computing the value of the SRTT (Smoothed Round Trip Time) for a specific destination
+address. Is is used to weight the RTT values, and it's value must be between 0 and 1 (0 &lt; rtoAlpha &lt; 1). A value
+close to 1 gives a higher importance to the last RTT measurement.
+The range of possible values in the configuration file and the actual value given to this parameter within the module
+are the following:
+
+rtoAlphaIndex = 1 -&gt; RTO-Alpha = 1/2
+rtoAlphaIndex = 2 -&gt; RTO-Alpha = 1/4
+rtoAlphaIndex = 3 -&gt; RTO-Alpha = 1/8
+rtoAlphaIndex = 4 -&gt; RTO-Alpha = 1/16</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>4</max>
+ </range>
+ <defaultValue>3</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rtoBetaIndex">
+ <description>This parameter is used for computing the the value of RTTVar (Round Trip Time Variation) of a destination
+address.
+It's value must be between 0 and 1 (0 &lt; rtoBetaIndex &lt; 1). A value close to 1 gives more importance to the
+last observed variation.
+The range of possible values in the configuration file and the actual value given to this parameter within the module
+are the following:
+
+rtoBetaIndex = 1 -&gt; RTO-Beta = 1/2
+rtoBetaIndex = 2 -&gt; RTO-Beta = 1/4
+rtoBetaIndex = 3 -&gt; RTO-Beta = 1/8
+rtoBetaIndex = 4 -&gt; RTO-Beta = 1/16
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>4</max>
+ </range>
+ <defaultValue>2</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="validCookieLife">
+ <description>This parameter sets up the lifespan of the State Cookie sent in the INIT_ACK chunk. It is used for limiting the
+the valid amount of time between sending the INIT_ACK and the reception of a COOKIE_ECHO chunk when
+establishing an association.
+If a COOKIE_ECHO chunk arrives after 'validCookieLife' has expired when sending an INIT_ACK chunk, the
+COOKIE_ECHO shall be discarded and no association will be established.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>180</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="allowedIncrementCookieLife">
+ <description>The sender of an INIT chunk may request to the remote endpoint a cookie life-span increase (for example, if a previous attempt to
+connect failed due to a state-cookie error). If the value sent in the "Cookie Preservative field" of the INIT chunk is less or equal to
+this parameter, the reciver of the INIT chunk will increase the 'validCookieLife' with the number of seconds specified. If the value
+present in the "Cookie Preservative field" is higher than this parameter, the cookie life should be incremented with the number of
+seconds specified by the parameter.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>180</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="keyChangePeriod">
+ <description>Defines how often the secret key used for computing the MAC (Message Authentication Code) on the State Cookie is changed.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>180</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="associationMaxRtx">
+ <description>This is the maximum number of consecutive retransmissions to a remote peer (on all the destination transport addresses of the peer, if it's multi homed). If the number of retransmissions becomes higher than this value, the remote peer shall be considered unreachable and the association shall be closed down.
+
+The value of this attribute should be greater than, or equal to the value of the attribute 'pathMaxRtx'.
+
+Note that for a specific association, it might happen that all the remote addresses (if the the remote end is multi homed) becomes unreachable without exceeding the 'associationMaxRtx'. In such a case the association will be closed down.
+
+Note! The value need to be increased to avoid frequent CommLost's in case of an unstable network.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>20</max>
+ </range>
+ <defaultValue>2</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pathMaxRtx">
+ <description>Maximum number of consecutive retransmissions to a remote transport address. If the number of retransmissions becomes higher than this value, the remote transport address shall be considered as "inactive" and no data shall be sent to it. If all of the remote transport addresses becomes "inactive", tha association will be closed down.
+
+Note! The value need to be increased to avoid frequent CommLost's in case of an unstable network.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>20</max>
+ </range>
+ <defaultValue>2</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxInitialRtrAtt">
+ <description>The maximum nimber of retransmissions allowed for both INIT and COOKIE_ECHO chunks. If the number of retransmissions
+exceeds this value, then the SCTP must abort the initialization of the association and report the error to the user.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxShutDownRtrAtt">
+ <description>The maximum number of retransmission during the shutdown phase of an association.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="heartbeatInterval">
+ <description>The amount of time added to the RTO (Retransmission Time Out) of a specific address when setting up the period of
+time between sending heartbeats. Small values can lead to an earlier detection of unreachable addresses than higher
+values. Note that sendig often might lead to performace penalty.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>1800</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="heartbeatStatus">
+ <description>This attribute enables/disables heartbeats for associations.
+
+True = Enables</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="maxIncomingStream">
+ <description>This is the maximum incoming streams (MIS) for an association. Established associations might have an IS less or equal to
+this, but it's MIS can never be higher than the value of this attribute.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16384</max>
+ </range>
+ <defaultValue>17</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxOutgoingStream">
+ <description>The maximum number of outgonig streams for an association.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16384</max>
+ </range>
+ <defaultValue>17</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxUserDataSize">
+ <description>The maximum number of bytes a user is allowed to pass as a non fragmentable user data in a SCTP_SEND_REQ message
+(fixed user MTU):
+The values for this attribute is 'user' data and do not include IP header (20 bytes), SCTP header (12 bytes) or DATA chunk
+header (16 bytes).
+
+Unit is bytes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>528</min> <max>65484</max>
+ </range>
+ <defaultValue>1432</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="mBuffer">
+ <description>This attribute sets the size of the buffer used for storing user data pending to be sent or retransmitted in an association. I.e. establish the maximum amount of user data that SCTP shall buffer before discarding user messages.
+
+The Unit is in kilo bytes.
+
+Note! The restriction on this attribute is:
+mBuffer x number of M3uAssociation MOs connected to this Sctp + 20 Mb &lt;= the heap for Sctp program.
+
+Note! The value may need to be increased to ensure stable dataflow at high load.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ <defaultValue>128</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nThreshold">
+ <description>This attribute sets the value of the threshold used by SCTP to stop the delivery of data on anassociation.
+Once nThreshold or more bytes are queued and pending to be sent, the SCTP layer shall issue an indication to the user.
+The value of nThreshold should be less than mBuffer in order to be effective. A normal value for nThreshold is 3/4 * mBuffer.
+
+Unit is kilo bytes.
+
+Note! The value may need to be increased to ensure stable dataflow at high load.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ <defaultValue>96</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tSack">
+ <description>The delay of sending the SACK. I.e. the time from DATA chunk reception to Sack chunk sending.
+
+Unit is second/100</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>50</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxDataSizeIp">
+ <description>The maximum number of bytes SCTP shall be able to fetch every time it reads from the socket.
+
+Note! This value includes the Ip header, 20 bytes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1500</min> <max>65535</max>
+ </range>
+ <defaultValue>8192</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="initialAdRecWin">
+ <description>The value of the initial advertised reciver window.
+
+Note! The range is 1500 to maxDataSizeIp.
+
+Unit is bytes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1500</min> <max>65535</max>
+ </range>
+ <defaultValue>8192</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="intervalOobPkts">
+ <description>The number of seconds for the interval for which the counter for out of the blue chunks will be reset.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>6500</max>
+ </range>
+ <defaultValue>3600</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="intervalLostUser">
+ <description>The number of seconds for the interval for which the SCTP will decide that the SCTP user that lost the
+connection is dead. After the time specified in this attribute has elapsed, all associations related to the
+SCTP user will be destroyed.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3600</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxBurst">
+ <description>The number of packages that will be sent during the fast retransmit phase when a SACK is processed.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16384</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nPercentage">
+ <description>The size of 'n' inpercentage when SCTP_CONGESTION_CEASE_IND is sent. At 100 percent the
+primitive will buffer usage goes below 'nThreshold'.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>85</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="bundlingActivated">
+ <description>This attribute enables/disables the use of bundling.
+Valid values:
+1: enabled.
+0: disabled.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="bundlingTimer">
+ <description>This attribute specifies maximum SCTP bundling delay.
+Value=0 means that SCTP will only bundle what is available and send directly (no delay).
+Unit is milliseconds</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1000</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpCurrEstab">
+ <description>Number of SCTP associations for which the current state is either ESTABLISHED, SHUTDOWN-PENDING, or SHUTDOWN-RECEIVED.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpActiveEstab">
+ <description>Number of times that SCTP associations have made a direct transition to the ESTABLISHED state from the COOKIE-ECHOED state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpPassiveEstab">
+ <description>Number of times that SCTP associations have made a direct transition to the ESTABLISHED state from the CLOSED state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpAborted">
+ <description>Number of times that SCTP associations have made a direct transition to the CLOSED state from any state using the primitive ABORT.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpShutdowns">
+ <description>Number of times that SCTP associations have made a direct transition to the CLOSED state from either the SHUTDOWN-SENT state or the SHUTDOWN-ACK-SENT state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatAssocOutOfBlue">
+ <description>Number of out of the blue packets (SCTP packet correctly formed-right checksum- but the receiver is not able to identify the association to which this packet belongs) received by the host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentChunks">
+ <description>Number of complete data chunks sent to the peers (no retransmissions included).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatRecChunks">
+ <description>Number of complete data chunks received from the peers (no retransmissions included).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatOutOfOrderSendChunks">
+ <description>Number of unordered chunks sent to the peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatOutOfOrderRecChunks">
+ <description>Number of unordered chunks received from the peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatChecksumErrorCounter">
+ <description>Number of SCTP packets received from the peers with an invalid checksum.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatRetransChunks">
+ <description>Number of data chunks retransmitted to the peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentControlChunks">
+ <description>Number of datagrams sent with chunk type id &gt; 0.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatReceivedControlChunks">
+ <description>Number of datagrams Receivedwith chunk type id &gt; 0.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatFragmentedUserMsg">
+ <description>Number of fragmented user messages, incremented when the first data chunk of a fragmented message is sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatReassembledUserMsg">
+ <description>Number of reassembled user messages, incremented when the first data chunk of a fragmented message is received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentPackages">
+ <description>Number of SCTP packages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatReceivedPackages">
+ <description>Number of SCTP packages Received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatCommStop">
+ <description>Number of times the SCTP has sent a communication stop indication to the user.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatCommResume">
+ <description>Number of times SCTP has sent a communication resume indication to the user.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentChunksDropped">
+ <description>Number of sent chunks that SCTP has been forced to drop due to buffer overflow in the sending buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatRecChunksDropped">
+ <description>Number of received chunks that SCTP has been forced to drop due to buffer overflow in the receiving buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Sts1SpeTtp">
+ <description>This MO represents the termination of the SONET higher order paths.
+This MO is automatically created when creating the OS155SPITTP with mux mode
+set to E1_J1_T1_LEVEL and standardmode set to ANSI.
+
+The performance monitoring counters in the Sts1SpeTtp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="Sts1SpeTtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Sts3CspeTtp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aisPReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiPReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+The string can be of 64 characters length, or empty string ("").
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled. This attribute is set to empty string every time attribute pathTraceFormat is set.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+Can be defined in two formats. The format may be in 16 or 64 characters. Usually 16 is used for ETSI and 64 for ANSI/TTC.
+
+Note: The string must be of 15 or 62 characters length, or empty string ("").</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pmEsp">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesp">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Vt15Ttp">
+ <description>This MO is automatically created when the configuring the Os155SpiTtp. It represents the termination of the SONET VP 1.5 path layer.
+
+This MO is automatically created when creating the Os155SpiTtp MO with the following conditions:
+muxmode = E1_J1_T1_LEVEL
+standardmode = ANSI
+
+The performance monitoring counters in the Vt15Ttp is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <systemCreated/>
+ <attribute name="Vt15TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="aisVreporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiVreporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimer">
+ <description>This timer defines the time that elapses before shut down when administrativeState is se to 'shuttingDown'.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Vt15Ttp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the Vt15Ttp MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter of Errored Seconds (ES).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Serverely Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="T1Ttp">
+ <description>This MO represents a channelised T1 interface in the node. The T1Ttp MO does not define which type of traffic (TDM or ATM) that the interface should support. Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+The performance monitoring counters in the T1Ttp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! This MO is automatically created as a consequence of creating an Os155SpiTtpMo
+Please refer to the Os155SpiTtpMo for more details.</description>
+ <systemCreated/>
+ <attribute name="T1TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the T1Ttp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter of Errored Seconds (ES).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Serverely Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving AtmPort MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="E3PhysPathTerm">
+ <description>This MO represents an E3 (i.e. 34 Mbit/s) physical interface in the node. Please refer to the AtmPort object for details about configuration of traffic type.
+
+Note! The performance monitoring counters in the E3PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="E3PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the E3PhysPathTerm.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="degDegThr">
+ <description>The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="degDegM">
+ <description>How many bad seconds in a row that causes a Degraded Signal alarm.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="T3PhysPathTerm">
+ <description>This MO represents an T3 (i.e. 45 Mbit/s) physical interface in the node. Please refer to the AtmPort object for details about configuration of traffic type.
+
+Note! The performance monitoring counters in the T3PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="T3PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the T3PhysPathTerm MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmEsCpp">
+ <description>Performance Monitoring counter for ES, Errored Seconds based on C-bit parity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesCpp">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES based on C-bit parity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etm3">
+ <description>This MO represents the hardware of the ET-M3 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-M3 board contains 2 physical ports that can be configured as either E3 (ETSI) or T3(ANSI).
+
+Each port on the ET-M3 board can be used to carry ATM traffic.
+
+At the VP/VC endpoints, the Lost FPM/BR cells counters are not available.
+
+IMA is not applicable for theETM3 board.
+
+The ET-M3 board supports eight bidirectional F4/F5 PM flow per port.
+
+The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 8/port.
+
+Each port can support up to 240 ATM VC connections. Sixteen of these connections are reserved for AAL2 paths, and therefore sixteen AAL2 multiplexers per port are available.
+
+Each port can support up to 16 VP connections. </description>
+ <attribute name="Etm3Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the Etm3 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpApRemote">
+ <description>This Managed Object represents an SCCP Remote Access Point. An SCCP AP instance is a logical point in the SCCP network at which an SCCP user can access the services provided by the SCCP and the SCCP can deliver its services to the SCCP user. The SCCP Remote Access Point is identified by a SubSystem Number (SSN).
+
+A remote SccpAp represents an access point in another node and is related to a Mtp3bAp MO.
+
+The performance monitoring counters found in SccpAp MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a shutdown request from the CLI (Cluster Interface).</description>
+ <attribute name="SccpApRemoteId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SCCP AP. The operability of the SCCP AP is described by this attribute which has two possible values:
+
+Disabled: The SCCP AP is totally inoperable and unable to provide the service to the user (e.g. if the MTP-3b AP is not usable).
+
+Enabled: The SCCP AP is partly or fully operable and available for use.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bApId">
+ <description>The identity of the Mtp3bAp used by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bAp"/>
+ </dataType>
+ </attribute>
+ <attribute name="ssN">
+ <description>The sub system number, SSN, of the SCCP Access Point. Note that this has to be a unique number.
+
+The SSN has to be unique among all other SccpApRemote MOs that has the same DPC in their corresponding Mtp3bSrs MOs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="IpAccessHostGpb">
+ <description>This MO represents the Ip Access Host used for payload. This host type executes on a GPB board (GeneralPocessorUnit).
+
+Note! If autoConfig is set to ON, the Ip Addresses and the Prefixes for this MO is set by the IpAccessAutoConfig MO.
+
+Note! There can be a maximum of 128 host's in the node, and only one host on each main processor.
+
+Note! The host cannot be configured on a MP which have a MediumAccessUnit-child MO. They are exclusive.
+
+Note! The 'administrativeState' has to be set to LOCKED in order to be able to delete this host.</description>
+ <attribute name="IpAccessHostGpbId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO, can be of type Sctp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ip Access Host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>DEGRADED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the Ip Access Host.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimer">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="linkStatusAddress1">
+ <description>The link status for IpAddress1.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkStatusAddress2">
+ <description>The link status for IpAddress2, only valid if 2 IpAddresses are configured for this host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="generalProcessorUnitId">
+ <description>Holds a reference to the GPU (GenerelProcessorUnit).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="GeneralProcessorUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfig">
+ <description>This attribute specifies whether the auto configuration feature for the Ip AccessHost is used or not.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AutoConfigurationMode">
+ <defaultValue>OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigIdentity">
+ <description>The identity of the IpAccessAutoConfig MO used by this host.
+
+Note! This attribute is mandatory if 'autoConfig' is set to ON.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessAutoConfig"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigIdentity2">
+ <description>The identity of the IpAccessAutoConfig MO used by this host.
+
+Note! This attribute can only be set if 'autoConfig' is set to 'ON'. This attribute is not neccesary to set if 'autoConfig' is set to ON, unless the host shall have two Ip Addresses automatically assigned.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessAutoConfig"/>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The IpAddress.
+
+Note! If autoConfig = OFF this attribute is mandatory.
+Note! If the autoConfiguration is used for this IpAccessHostGpb (autoConfig = ON) this attribute cannot be set. This attribute is then set by the 'IpAccessAutoConfig MO'.
+Note! If the attribute is set, its value must not be the same as for attribute ipAddress2.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress2">
+ <description>The second IpAddress.
+
+Note! If autoConfig = OFF this attribute is possible to set. This attribute should only be set if multi-homing is used for this host (i.e. two addresses defined).
+Note! If the autoConfiguration is used for this IpAccessHostGpb (autoConfig = ON) this attribute cannot be set. This attribute is then set by the 'IpAccessAutoConfig MO'.
+Note! If the attribute is set, its value must not be the same as for attribute 'ipAddress'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pathMTUDiscovery">
+ <description>Specifies whether path MTU discovery should be used by this host.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="mtu">
+ <description>The maximum transfer unit.
+
+The MTU has a initial value of 1452 if pathMTUDiscovery is set to false.
+If pathMTUDiscovery is set to true, the initial value of MTU is 1452.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>72</min> <max>2048</max>
+ </range>
+ <defaultValue>1452</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ipDefaultTtl">
+ <description>The default value inserted into the Time-To-Live field of the IP header of datagrams originated at this entity,
+whenever a TTL value is not supplied by the transport layer protocol.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ipReasmTimeout">
+ <description>The maximum number of seconds which received fragments are
+held while they are awaiting reassembly at this entity.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.
+
+Note! The value for this attribute has to be positive.</description>
+ <dataType>
+ <long>
+ <defaultValue>300</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nextHopIpAddr">
+ <description>The next hop IP address.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="nextHopIpAddr2">
+ <description>The secondary next hop IP address.
+
+Note! This attribute must only be set if one of the following conditions are fulfilled:
+1. Attribute 'ipAddress2' is set.
+2. Attribute 'autoConfig' = 'ON' and attribute 'autoConfigIdentity2' is set.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix">
+ <description>The network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.
+
+Note! The default value 0 (zero) is most likely not possible to use and an other value should be submitted if this attribute is configured manually.
+Note! If auto configuration is not used (autoConfig = OFF) this attribute must be set (i.e. this attribute is then 'mandatory').
+Note! If auto configuration is used (autoConfig = ON) this attribute cannot be set, networkPrefix is then set by the ipAccessAutoConfig MO class.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix2">
+ <description>The second network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.
+
+Note! The default value 0 (zero) is most likely not possible to use and an other value should be submitted if this attribute is configured manually.
+Note! If auto configuration is not used (autoConfig = OFF) and ipAddress2 is set this attribute must be set (i.e. this attribute is then 'mandatory').
+Note! If auto configuration is used (autoConfig = ON) this attribute cannot be set, networkPrefix2 is then set by the ipAccessAutoConfig MO class. </description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInReceives">
+ <description>The total number of input datagrams received from interfaces, including those received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInHdrErrors">
+ <description>The number of input datagrams discarded due to errors in their IP headers, including bad checksums, version number
+mismatch, other format errors, time-to-live exceeded, errors discovered in processing their IP options, etc.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInAddrErrors">
+ <description>The number of input datagrams discarded because the IP address in their IP header's destination field was not a
+valid address to be received at this entity. This count includes invalid addresses (e.g., 0.0.0.0) and addresses of
+unsupported Classes (e.g., Class E). For entities which are not IP routers and therefore do not forward datagrams,
+this counter includes datagrams discarded because the destination address was not a local address.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInUnknownProtos">
+ <description>The number of locally-addressed datagrams received successfully but discarded because of an unknown or
+unsupported protocol.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDiscards">
+ <description>The number of input IP datagrams for which no problems were encountered to prevent their continued processing, but which
+were discarded (e.g., for lack of buffer space). Note that this counter does not include any datagrams discarded while
+awaiting re-assembly.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDelivers">
+ <description>The total number of input datagrams successfully delivered to IP user-protocols (including ICMP).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutRequests">
+ <description>The total number of IP datagrams which local IP user-protocols (including ICMP) supplied to IP in requests for
+transmission. Note that this counter does not include any datagrams counted in ipForwDatagrams</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutDiscards">
+ <description>The number of output IP datagrams for which no problem was encountered to prevent their transmission to their
+destination, but which were discarded (e.g., for lack of buffer space). Note that this counter would include
+datagrams counted in ipForwDatagrams if any such packets met this (discretionary) discard criterion.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpReasmReqds">
+ <description>The number of IP fragments received which needed to be reassembled at this entity.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpReasmOKs">
+ <description>The number of IP datagrams successfully re-assembled.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpReasmFails">
+ <description>The number of failures detected by the IP re-assembly algorithm (for whatever reason: timed out, errors, etc).
+Note that this is not necessarily a count of discarded IP fragments since some algorithms (notably the algorithm
+in RFC 815) can lose track of the number of fragments by combining them as they are received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpFragOKs">
+ <description>The number of IP datagrams that have been successfully fragmented at this entity.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpFragFails">
+ <description>The number of IP datagrams that have been discarded because they needed to be fragmented
+at this entity but could not be, e.g., because their Don't Fragment flag was set.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpFragCreates">
+ <description>The number of IP datagram fragments that have been generated as a result of fragmentation at this entity.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInMsgs">
+ <description>The total number of ICMP messages which the entityreceived.
+Note that this counter includes all those counted by icmpInErrors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInErrors">
+ <description>The number of ICMP messages which the entity received but determined as having
+ICMP-specific errors (bad ICMP checksums, bad length, etc.).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInTimeExcds">
+ <description>The number of ICMP Time Exceeded messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInParamProbs">
+ <description>The number of ICMP Parameter Problem messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInSrcQuenchs">
+ <description>The number of ICMP Source Quench messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInRedirects">
+ <description>The number of ICMP Redirect messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchos">
+ <description>The number of ICMP Echo (request) messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchoReps">
+ <description>The number of ICMP Echo Reply messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutMsgs">
+ <description>The total number of ICMP messages which this entity attempted to send.
+Note that this counter includes all those counted by icmpOutErrors.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutErrors">
+ <description>The number of ICMP messages which this entity did not send due to problems discovered within ICMP such as a lack of
+buffers. This value should not include errors discovered outside the ICMP layer such as the inability of IP to route the
+resultant datagram. In some implementations there may be no types of error which contribute to this counter's value.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutParmProbs">
+ <description>The number of ICMP Parameter Problem messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchos">
+ <description>The number of ICMP Echo (request) messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchoReps">
+ <description>The number of ICMP Echo Reply messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInDatagrams">
+ <description>The total number of UDP datagrams delivered to UDP users.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpNoPorts">
+ <description>The total number of received UDP datagrams for which there was no application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInErrors">
+ <description>The number of received UDP datagrams that could not be delivered for
+reasons other than the lack of an application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpOutDatagrams">
+ <description>The total number of UDP datagrams sent from this entity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInUcastPkts">
+ <description>Performance monitoring counter for the number of input unicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutUcastPkts">
+ <description>Performance monitoring counter for the number of out unicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInErrors">
+ <description>Performance monitoring counter for the number of input packets discarded due to any error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInDiscards">
+ <description>Performance monitoring counter for the number of input packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInBroadcastPkts">
+ <description>Performance monitoring counter for the number of input broadcast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInMulticastPkts">
+ <description>Performance monitoring counter for the number of input multicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutBroadcastPkts">
+ <description>Performance monitoring counter for the number of output broadcast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutMulticastPkts">
+ <description>Performance monitoring counter for the number of output multicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SystemFunctions">
+ <description>This MO structures the SystemFunctions of the managed element. It is created automatically and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, SystemFunctions=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SystemFunctionsId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Licensing">
+ <description>This MO represents the Licensing (Software Keys) functions in Cello.</description>
+ <systemCreated/>
+ <attribute name="LicensingId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="emergencyStateInfo">
+ <description>This attribute holds information about the emergency status and the time remaining in the current state.
+
+Note! If the node is in emergency state USE_DEGRADED, or the emergency state is USE_DISABLED, the the value of'time' is insignificant.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="EmergencyInfo"/>
+ </dataType>
+ </attribute>
+ <attribute name="licenseFileUrl">
+ <description>This attribute returns the URL to the License Key File so it can be viewed with an XML capable web browser
+in case this is a licensed node.
+
+Note! In case the node is not licensed, an empty string will be returned.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>256</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <action name="updateLicenseKeyFile">
+ <description>This action sends a request to the FTP/SFTP server to update the license key file.
+
+User Id for login to FTP/SFTP server where license key files are located.
+If a null string userId is given, anonymous FTP/SFTP transfer is assumed.
+Max length is 20 characters.
+
+Password for login to the FTP/SFTP server where license key files are located.
+Max length is 20 characters.
+
+The IpAddress of the FTP/SFTP server where license key files are located.
+Max length is 16 characters.
+
+Source name and path of the license key file on the FTP/SFTP server.
+Max length is 256 charcters.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="userId">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="password">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="ipAddress">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="sFile">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="setEmergencyState">
+ <description>This action sets the license server into emergency state.
+I.e. opens up all licensed features and capacity restrictions.
+Disabling emergency state is done by loading a new license key file.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="TimingUnit2">
+ <description>This MO represents a timing unit2 (reference clock) in the node.
+
+The corresponding Program shall be of LoadModuleLoaderType, SpmFpgaLoader.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of TimingUnit</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the TimingUnit.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="TimingUnit2Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpPolicing">
+ <description>The SccpPolicing MO represents the policing function in CPP.
+
+The originatingMtp3bSpc is a madatory attribute for policing in one local node (i.e. a SRP in one NI).
+For policing between two local nodes (i.e. a SRP between two NIs) the originatingMtp3bSpc can be replaced by the originatingSccpSpId.
+Optional attributes for policing in one local node (i.e a SRP in one NI) are destinationMtp3bSpc, destinationSccpSsn, originatingSccpSsn and policingGlobalTitle.
+For policing between two local nodes (i.e. a SRP between two NIs) the destinationMtp3bSpc can be replaced by the destinationSccpSpId.</description>
+ <attribute name="SccpPolicingId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="originatingMtp3bSpc">
+ <description>The value of the SPC (Signaling Point Code).
+
+Note! If the 'originatingSccpSpId' is specified the 'originatingMtp3bSpc' is invalid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>16777215</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="destinationMtp3bSpc">
+ <description>The value of the destination SPC (Signaling Point Code).
+
+Note! The default value 0 (zero) means that no SPC is defined.
+Note! If no 'destinationSccpSp' is defined and the value of 'destinationMtp3bSpc' is set to 0 (zero), all Signalling Point Codes (SPC) are valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>16777215</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="originatingSccpSpId">
+ <description>The identity of the originating SccpSp MO must be used for policing between local nodes.
+
+Note! If the 'originatingSccpSpId' is specified the 'originatingMtp3bSpc' is invalid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="originatingSccpSsn">
+ <description>The value of SSN in CgPA (Calling Party Address)
+
+Note! The value 0 (zero) means that all ssN (Sub System Number) are valid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="destinationSccpSpId">
+ <description>The identity of the destination SccpSp MO can be used for policing between local nodes.
+
+Note! If the 'destinationSccpSpId' is specified the 'destinationMtp3bSpc' is invalid.
+Note! If no destination 'destinationSccpSp' is defined and the value of 'destinationMtp3bSpc' is set to 0, all local nodes are valid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="destinationSccpSsn">
+ <description>The value of SSN inCdPA (Called Party Address).
+
+Note! The valu 0 (zero) means tha all ssN (Sub System Number) are valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="policingGlobalTitle">
+ <description>The Policing Global Title attribute allows to optionally police on Global Title
+
+Default value is considered as no policing Global Title and all GTs are valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="GlobalTitleData"/>
+ </dataType>
+ </attribute>
+ <attribute name="discardOrReturnServiceMsg">
+ <description>For a policing case whether to discard or return Service Messages, if the return option is set.</description>
+ <dataType>
+ <enumRef name="DiscardReturnServiceMsg">
+ <defaultValue>DISCARD</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRejectMsg">
+ <description>Performance monitoring counter for the number of rejected messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpAccountingCriteria">
+ <description>The SccpAccountingCriteria MO and the SccpSp MO represents the accounting function in CPP.
+
+Attribute usageType specifies Accounting Remuneration (incoming GT messages) or Verification (outgoing GT messages).
+
+For Remuneration a SccpGlobalTitle MOId in attribute globalTitleId must be defined.
+For Verification a SccpGlobalTitle MOid is defined in attribute globalTitleId, or a SccpEntitySet MOid is defined if accounting shall be made on the resulting new GT.
+
+The attribute ssN is optional .
+The Mtp3bSrs MOid (including an SPC) in attribute pointerId is optional for accounting in one local node (SRP in one NI).
+The SccpSp MOid in attribute pointerId is optional for accounting between two local nodes (SRP between two NIs).
+Attribute countType specifies if Messages och Octets shall be counted.
+Start and stop of accounting and output period for the accounting file is specified in the SccpSp MO.</description>
+ <attribute name="SccpAccountingCriteriaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="usageType">
+ <description>This attribute specifies if the MO is used for renumeration or verification.
+
+0 = Verification Criteria to detect outgoing massages that will be charged in the next relay node.
+
+1 = Renumeration Criteria to detect incoming messages for relaying, that will be charged.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long/>
+ </dataType>
+ </attribute>
+ <attribute name="ssN">
+ <description>Subsystem Number this Accounting Criteria applies to.
+SubSystem Number 0 (zero) means that any SSN in CdPA (Called Party Address) is valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="countType">
+ <description>Specifies type of countes that should be activated.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="SccpCountType">
+ <defaultValue>MESSAGES</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="globalTitleId">
+ <description>The identity of the SccpGlobalTitle MO or the SccpEntitySet MO used by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMsg">
+ <description>Performance monitoring counter for the number of messages, both incoming and outgoing.
+
+Note! If 'countType' is set to 'OCTETS' this counter is not valid.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOctets">
+ <description>Performance monitoring counter for the number of octets, both incoming and outgoing.
+
+Note! If 'countType' is set to 'MESSAGES this counter is not valid.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pointerId">
+ <description>The pointer is an instance of a Mtp3bSrs MO OR an instance of a SccpSP MO.
+
+Note! If no pointerId is set all Signaling Point Codes (SPC) and all Sccp SP identities are valid.
+Note! It is not possible to submit the Id of the SccpSp that the SccpAccountingCriteria belongs to.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Cbu">
+ <description>This MO models the Cbu (Node Control Board) board in CPP.
+The Cbu board integrates the functionality of TUB, SCB, GPB and ETB (ET-MC1) into one Plug-In Unit.
+
+Note! The 'operationalState' is set to disabled if one of the functional parts is faulty. Even if the Cbu is marked with 'operationalState' DISABLED, parts of the Cbu can still be operational.
+
+Note! A functional part on a Cbu board can only be redundant with the same functional part on another Cbu board and thus not with that functional part implemented on a discrete board. Also note that redundancy is only applicable for the GPB part and the TimingUnit part of the Cbu board..
+
+Note! On the Cbu board it is only possible to configure a maximum of 4 physical path terminations. These can be of type E1PhysPathTerm, J1PhyspathTerm or T1PhyspathTerm. It is not possible to create physical path terminations of different standards on the same board.
+
+Note! The Gpu part of the Cbu board (General Processor Unit) retrieves its 'availabilityStatus' from the PlugInUnit MO, thus not represented on the Cbu MO.
+
+Note! When the ET-MC1 is part of the Cbu board it is only possible to configure 4 physical ports as either E1 (ETSI), J1 (TTC) or T1 (ANSI).
+
+Note! This unit may only be inserted in slot 1 and 24 (or more accurately at APN=1 and 0 in a non-standard subrack). If redundant configuration is needed, two Cbu's must be inserted in the subrack.
+If only one Cbu is used it must be placed in slot 1 (in a non-standard subrack) to be able to read the product information data of the subrack</description>
+ <attribute name="CbuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Provides the possibility to put a user-friendly label on the MO instance.
+
+Note! This attribute cannot be used for uniquely identifing the MO.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>128</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the NCB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusEt">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.
+The 'availabilityStatusEt' gives the availability status for the ET part of the NCB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusTu">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.
+The 'availabilityStatusTu' gives the availability status for the Timing Unit part of the NCB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <relationship name="ManagedElement_to_TransportNetwork">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="TransportNetwork"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Synchronization">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Synchronization"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_SccpSp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="SccpSp"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_UniSaalProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="UniSaalProfile"/>
+ <cardinality>
+ <min>0</min> <max>300</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_UniSaalTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="UniSaalTp"/>
+ <cardinality>
+ <min>0</min> <max>4800</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal0TpVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal0TpVccTp"/>
+ <cardinality>
+ <min>0</min> <max>2200</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal5TpVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal5TpVccTp"/>
+ <cardinality>
+ <min>0</min> <max>6000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_AtmPort">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="AtmPort"/>
+ <cardinality>
+ <min>0</min> <max>500</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_NniSaalProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="NniSaalProfile"/>
+ <cardinality>
+ <min>0</min> <max>50</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_NniSaalTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="NniSaalTp"/>
+ <cardinality>
+ <min>0</min> <max>600</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_AtmTrafficDescriptor">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="AtmTrafficDescriptor"/>
+ <cardinality>
+ <min>0</min> <max>1000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2PathVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2PathVccTp"/>
+ <cardinality>
+ <min>0</min> <max>2000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2RoutingCase">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2RoutingCase"/>
+ <cardinality>
+ <min>0</min> <max>900</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2Sp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2Sp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_AtmCrossConnection">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="AtmCrossConnection"/>
+ <cardinality>
+ <min>0</min> <max>5000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2TpItu">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2TpItu"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2TpAnsi">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2TpAnsi"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal1TpVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal1TpVccTp"/>
+ <cardinality>
+ <min>0</min> <max>1000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpAnsi">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpAnsi"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpItu">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpItu"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpTtc">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpTtc"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2ProfileAnsi">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2ProfileAnsi"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2ProfileItu">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2ProfileItu"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2ProfileChina">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2ProfileChina"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2TpChina">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2TpChina"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpChina">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpChina"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mspg">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mspg"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_ImaGroup">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="ImaGroup"/>
+ <cardinality>
+ <min>0</min> <max>200</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2QosProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2QosProfile"/>
+ <cardinality>
+ <min>0</min> <max>20</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2QosCodePointProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2QosCodePointProfile"/>
+ <cardinality>
+ <min>0</min> <max>20</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Sctp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Sctp"/>
+ <cardinality>
+ <min>0</min> <max>10</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Subrack_to_Slot">
+ <containment>
+ <parent>
+ <hasClass name="Subrack"/>
+ </parent>
+ <child>
+ <hasClass name="Slot"/>
+ <cardinality>
+ <min>1</min> <max>28</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Slot_to_PlugInUnit">
+ <containment>
+ <parent>
+ <hasClass name="Slot"/>
+ </parent>
+ <child>
+ <hasClass name="PlugInUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Slot_to_ManagedObject">
+ <containment>
+ <parent>
+ <hasClass name="Slot"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_ManagedObject">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_ManagedObject">
+ <description>This containment relation indicated that any kind of board may be used to specilize PlugInUnit.</description>
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Subrack_to_ManagedObject">
+ <containment>
+ <parent>
+ <hasClass name="Subrack"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="VpcTp_to_VclTp">
+ <containment>
+ <parent>
+ <hasClass name="VpcTp"/>
+ </parent>
+ <child>
+ <hasClass name="VclTp"/>
+ <cardinality>
+ <min>0</min> <max>5000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_Equipment">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="Equipment"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_IpSystem">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="IpSystem"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_SwManagement">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="SwManagement"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_SwitchFabric">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchFabric"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_ManagedElementData">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedElementData"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_SystemFunctions">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="SystemFunctions"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Equipment_to_Subrack">
+ <containment>
+ <parent>
+ <hasClass name="Equipment"/>
+ </parent>
+ <child>
+ <hasClass name="Subrack"/>
+ <cardinality>
+ <min>0</min> <max>9</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Equipment_to_Jvm">
+ <containment>
+ <parent>
+ <hasClass name="Equipment"/>
+ </parent>
+ <child>
+ <hasClass name="Jvm"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Subrack_to_Fan">
+ <containment>
+ <parent>
+ <hasClass name="Subrack"/>
+ </parent>
+ <child>
+ <hasClass name="Fan"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_LoadModule">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="LoadModule"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpApLocal">
+ <description>The SccpApLocal represents a local SSN, no relation exists to an Mtp3bAp
+
+Of the possible 216 SccpAps allowed on a node, a maximum of 16 are allowed to be local, and a maximum of 200 are allowed to be remote.</description>
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpApLocal"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpSp_to_SccpScrc">
+ <containment>
+ <parent>
+ <hasClass name="SccpSp"/>
+ </parent>
+ <child>
+ <hasClass name="SccpScrc"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpEntitySet">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpEntitySet"/>
+ <cardinality>
+ <min>0</min> <max>2048</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpGlobalTitle">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpGlobalTitle"/>
+ <cardinality>
+ <min>0</min> <max>2048</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpApRemote">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpApRemote"/>
+ <cardinality>
+ <min>0</min> <max>200</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpPolicing">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpPolicing"/>
+ <cardinality>
+ <min>0</min> <max>1024</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpAccountingCriteria">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpAccountingCriteria"/>
+ <cardinality>
+ <min>0</min> <max>100</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Spm_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Spm"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm4_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etm4"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc41_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etmc41"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit2_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit2"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm3_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etm3"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Spu_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Spu"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Fan_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Fan"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>1</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_E1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="E1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_E1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="E1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="E1PhysPathTerm_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="E1PhysPathTerm"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>31</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_J1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="J1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_J1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="J1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="J1PhysPathTerm_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="J1PhysPathTerm"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="AtmPort_to_VplTp">
+ <containment>
+ <parent>
+ <hasClass name="AtmPort"/>
+ </parent>
+ <child>
+ <hasClass name="VplTp"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSrs_to_Mtp3bSr">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSrs"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSr"/>
+ <cardinality>
+ <min>0</min> <max>5</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etm1">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etm1"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Spu">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Spu"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_SwitchCoreUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchCoreUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_GeneralProcessorUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="GeneralProcessorUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etm4">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etm4"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_TimingUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="TimingUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_SwitchExtensionUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchExtensionUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etmc1">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etmc1"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etmc41">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etmc41"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etm3">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etm3"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_TimingUnit2">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="TimingUnit2"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Cbu">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Cbu"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlAnsi">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlAnsi"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlItu">
+ <description>Multiplicity: 0..16</description>
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlItu"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlTtc">
+ <description>Multiplicity: 0..16</description>
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlTtc"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlChina">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlChina"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_Ip">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="Ip"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_Ospf">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="Ospf"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_IpAccessAutoConfig">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="IpAccessAutoConfig"/>
+ <cardinality>
+ <min>0</min> <max>32</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_IpAccessHostMsb">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="IpAccessHostMsb"/>
+ <cardinality>
+ <min>0</min> <max>128</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_IpAccessHostGpb">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="IpAccessHostGpb"/>
+ <cardinality>
+ <min>0</min> <max>128</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ip_to_IpAtmLink">
+ <containment>
+ <parent>
+ <hasClass name="Ip"/>
+ </parent>
+ <child>
+ <hasClass name="IpAtmLink"/>
+ <cardinality>
+ <min>0</min> <max>500</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ip_to_EthernetLink">
+ <containment>
+ <parent>
+ <hasClass name="Ip"/>
+ </parent>
+ <child>
+ <hasClass name="EthernetLink"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ip_to_IpRoutingTable">
+ <containment>
+ <parent>
+ <hasClass name="Ip"/>
+ </parent>
+ <child>
+ <hasClass name="IpRoutingTable"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Aal2Sp_to_Aal2Ap">
+ <containment>
+ <parent>
+ <hasClass name="Aal2Sp"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2Ap"/>
+ <cardinality>
+ <min>0</min> <max>850</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Aal2Ap_to_Aal2PathDistributionUnit">
+ <containment>
+ <parent>
+ <hasClass name="Aal2Ap"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2PathDistributionUnit"/>
+ <cardinality>
+ <min>0</min> <max>26</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_T1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="T1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit_to_TuSyncRef">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit"/>
+ </parent>
+ <child>
+ <hasClass name="TuSyncRef"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit2_to_TuSyncRef">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit2"/>
+ </parent>
+ <child>
+ <hasClass name="TuSyncRef"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Spu_to_Spm">
+ <containment>
+ <parent>
+ <hasClass name="Spu"/>
+ </parent>
+ <child>
+ <hasClass name="Spm"/>
+ <cardinality>
+ <min>0</min> <max>5</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_ConfigurationVersion">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="ConfigurationVersion"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_UpgradePackage">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="UpgradePackage"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_Repertoire">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="Repertoire"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_PiuType">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="PiuType"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_SwAllocation">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="SwAllocation"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_ReliableProgramUniter">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="ReliableProgramUniter"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ospf_to_OspfInterface">
+ <containment>
+ <parent>
+ <hasClass name="Ospf"/>
+ </parent>
+ <child>
+ <hasClass name="OspfInterface"/>
+ <cardinality>
+ <min>0</min> <max>32</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ospf_to_OspfArea">
+ <containment>
+ <parent>
+ <hasClass name="Ospf"/>
+ </parent>
+ <child>
+ <hasClass name="OspfArea"/>
+ <cardinality>
+ <min>1</min> <max>4</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Cbu_to_GeneralProcessorUnit">
+ <containment>
+ <parent>
+ <hasClass name="Cbu"/>
+ </parent>
+ <child>
+ <hasClass name="GeneralProcessorUnit"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="GeneralProcessorUnit_to_MediumAccessUnit">
+ <containment>
+ <parent>
+ <hasClass name="GeneralProcessorUnit"/>
+ </parent>
+ <child>
+ <hasClass name="MediumAccessUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwitchFabric_to_SwitchModule">
+ <containment>
+ <parent>
+ <hasClass name="SwitchFabric"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchModule"/>
+ <cardinality>
+ <min>0</min> <max>32</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwitchFabric_to_InternalLinkGroup">
+ <containment>
+ <parent>
+ <hasClass name="SwitchFabric"/>
+ </parent>
+ <child>
+ <hasClass name="InternalLinkGroup"/>
+ <cardinality>
+ <min>0</min> <max>31</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="InternalLinkGroup_to_SwitchInternalLink">
+ <containment>
+ <parent>
+ <hasClass name="InternalLinkGroup"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchInternalLink"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm4_to_Os155SpiTtp">
+ <containment>
+ <parent>
+ <hasClass name="Etm4"/>
+ </parent>
+ <child>
+ <hasClass name="Os155SpiTtp"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="VplTp_to_VpcTp">
+ <containment>
+ <parent>
+ <hasClass name="VplTp"/>
+ </parent>
+ <child>
+ <hasClass name="VpcTp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="E1Ttp_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="E1Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>31</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="T1PhysPathTerm_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="T1PhysPathTerm"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="T1Ttp_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="T1Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_M3uAssociation">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="M3uAssociation"/>
+ <cardinality>
+ <min>0</min> <max>512</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_M3uAssociation">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="M3uAssociation"/>
+ <cardinality>
+ <min>0</min> <max>512</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Vc12Ttp_to_E1Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Vc12Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="E1Ttp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Os155SpiTtp_to_Vc4Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Os155SpiTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Vc4Ttp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Vc4Ttp_to_Vc12Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Vc4Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="Vc12Ttp"/>
+ <cardinality>
+ <min>0</min> <max>63</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc41_to_Os155SpiTtp">
+ <containment>
+ <parent>
+ <hasClass name="Etmc41"/>
+ </parent>
+ <child>
+ <hasClass name="Os155SpiTtp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Os155SpiTtp_to_Sts3CspeTtp">
+ <containment>
+ <parent>
+ <hasClass name="Os155SpiTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Sts3CspeTtp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Os155SpiTtp_to_Sts1SpeTtp">
+ <containment>
+ <parent>
+ <hasClass name="Os155SpiTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Sts1SpeTtp"/>
+ <cardinality>
+ <min>0</min> <max>3</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Cbu_to_Etmc1">
+ <containment>
+ <parent>
+ <hasClass name="Cbu"/>
+ </parent>
+ <child>
+ <hasClass name="Etmc1"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_T1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="T1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_M3uAssociation">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="M3uAssociation"/>
+ <cardinality>
+ <min>0</min> <max>512</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ImaGroup_to_ImaLink">
+ <containment>
+ <parent>
+ <hasClass name="ImaGroup"/>
+ </parent>
+ <child>
+ <hasClass name="ImaLink"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SystemFunctions_to_WebServer">
+ <containment>
+ <parent>
+ <hasClass name="SystemFunctions"/>
+ </parent>
+ <child>
+ <hasClass name="WebServer"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Sts1SpeTtp_to_Vt15Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Sts1SpeTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Vt15Ttp"/>
+ <cardinality>
+ <min>0</min> <max>28</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Vt15Ttp_to_T1Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Vt15Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="T1Ttp"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm3_to_E3PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm3"/>
+ </parent>
+ <child>
+ <hasClass name="E3PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm3_to_T3PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm3"/>
+ </parent>
+ <child>
+ <hasClass name="T3PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SystemFunctions_to_Licensing">
+ <containment>
+ <parent>
+ <hasClass name="SystemFunctions"/>
+ </parent>
+ <child>
+ <hasClass name="Licensing"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Cbu_to_TimingUnit2">
+ <containment>
+ <parent>
+ <hasClass name="Cbu"/>
+ </parent>
+ <child>
+ <hasClass name="TimingUnit2"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+</mim>
+
+</models>
+
diff --git a/lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.stub b/lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.stub
new file mode 100644
index 0000000000..754b1ecded
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.stub
@@ -0,0 +1,14 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+
+<!--
+ Document no: 1551-1/CSX10109
+ Revision: MOM-CELLO_4.3.1
+ Date: 12-17-2003
+ Author: Ake Pappila/Hsiang-Ling Teng
+
+ Generated from UML by uml2xml version 3.0 (based on DTD file rev. F)
+ Rational Rose model used: /vobs/cello/cma/cma_uml/CelloMOM.mdl
+-->
+
+<!DOCTYPE models SYSTEM "mp.dtd">
+
diff --git a/lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.xml b/lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.xml
new file mode 100644
index 0000000000..8f8cf54505
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/eventp/CelloMOM.xml
@@ -0,0 +1,30261 @@
+<?xml version="1.0" encoding="ISO-8859-1"?>
+
+<!--
+ Document no: 1551-1/CSX10109
+ Revision: MOM-CELLO_4.3.1
+ Date: 12-17-2003
+ Author: Ake Pappila/Hsiang-Ling Teng
+
+ Generated from UML by uml2xml version 3.0 (based on DTD file rev. F)
+ Rational Rose model used: /vobs/cello/cma/cma_uml/CelloMOM.mdl
+-->
+
+<!DOCTYPE models SYSTEM "mp.dtd">
+
+<models>
+<mim name="MOM-CELLO_4.3.1-1" version="5" release="1">
+ <exception name="AttributeMissingException">
+ <description>Exception thrown when an attribute is missing during upgrade.</description>
+ </exception>
+
+ <exception name="EnableExistingProgramException">
+ <description>Exception thrown when enabling of existing programs fails.</description>
+ </exception>
+
+ <exception name="ExtraIdentifiersOfNonExistingLoadModulesException">
+ </exception>
+
+ <exception name="FileCopyException">
+ <description>Exception thrown when copying of files fails.</description>
+ </exception>
+
+ <exception name="FileNotExistException">
+ <description>Exception thrown when the specified file does not exist.</description>
+ </exception>
+
+ <exception name="FindAndReplaceException">
+ </exception>
+
+ <exception name="HeapPoolSettingsException">
+ <description>Exception thrown when the heap or pool setting is incorrect.</description>
+ </exception>
+
+ <exception name="AttributeValueOutOfRangeException">
+ <description>Exception thrown when an attribute value is out of range.</description>
+ </exception>
+
+ <exception name="CancelInstallRequestException">
+ <description>Exception thrown when a cancel install equest for some reason fails.</description>
+ </exception>
+
+ <exception name="ChecksumhandlerException">
+ <description>Exception thrown when the control of checksum for loadmodules has been rejaected due to 'null' recieved from resource layer.</description>
+ </exception>
+
+ <exception name="ClearAllPiuTypesReferencedByPlugInUnitException">
+ <description>Exception thrown when its not possible to clear all PiuTypes referenced by PlugInUnit.</description>
+ </exception>
+
+ <exception name="ConditionallyMandatoryAttributeOrElementMissingException">
+ <description>Exception thrown when an conditional mandatory attribute (or element) is missing.</description>
+ </exception>
+
+ <exception name="ConfigurationVersionMO_HandlerException">
+ <description>Exception thrown when the creation of a CV during upgrade fails.</description>
+ </exception>
+
+ <exception name="ControlFileDocumentHandlerException">
+ </exception>
+
+ <exception name="CreateLoadModuleException">
+ <description>Exception thrown when the creation of a load module fails.</description>
+ </exception>
+
+ <exception name="DisableExistingProgramsException">
+ <description>Exception thrown when disabling of existing programs for some reason fails.</description>
+ </exception>
+
+ <exception name="DocumentObjectProxyException">
+ </exception>
+
+ <exception name="ExplicitReplacementInInformationForRPUsException">
+ <description>Exception thrown when replacement of existing RPU information fails.</description>
+ </exception>
+
+ <exception name="InstallOfLoadModulesCancelledException">
+ <description>Exception thrown when the installation of Load Modules is cancelled.</description>
+ </exception>
+
+ <exception name="InstallOfLoadModulesPartlyExcecutedException">
+ <description>Exception thrown when the installment of Load Modules is only partly executed.</description>
+ </exception>
+
+ <exception name="InstallOfLoadModulesRejectedException">
+ <description>Exception thrown when the install of Load Modules is rejected.</description>
+ </exception>
+
+ <exception name="InstallRejectedFTP_ServerNotAccessibleException">
+ <description>Exception thrown when the install is rejected due to FTP server is not accessible.</description>
+ </exception>
+
+ <exception name="InstallRejectedGetLoadModuleFileException">
+ <description>Exception thrown when the install is rejected due to error when trying to retreive Load Module.</description>
+ </exception>
+
+ <exception name="InstallRejectedIP_AddressException">
+ <description>Exception thrown when install is rejected due to erronous IP address.</description>
+ </exception>
+
+ <exception name="InstallRejectedInsufficientDiskSpaceException">
+ <description>Exception thrown when the install is rejected due to insufficient disk space.</description>
+ </exception>
+
+ <exception name="InstallRejectedLoadListFileException">
+ </exception>
+
+ <exception name="InstallRequestException">
+ <description>Exception thrown when the installation of a Load Module fails.</description>
+ </exception>
+
+ <exception name="InsufficientDiskSpaceException">
+ <description>Exception thrown when the disk space is insufficient.</description>
+ </exception>
+
+ <exception name="JvmMO_HandlerException">
+ </exception>
+
+ <exception name="LoadModuleFIlePathLengthOutOfRangeException">
+ <description>Exception thrown when file path for the Load Module is out of length.</description>
+ </exception>
+
+ <exception name="LoadModuleMO_HandlerException">
+ </exception>
+
+ <exception name="ManagedElementDataMO_HandlerException">
+ </exception>
+
+ <exception name="MandatoryElementIsMissingException">
+ </exception>
+
+ <exception name="MergeControlFileException">
+ <description>Exception thrown when the merger of control files fails.</description>
+ </exception>
+
+ <exception name="PIU_MO_HandlerException">
+ </exception>
+
+ <exception name="ParseException">
+ </exception>
+
+ <exception name="ParserFactoryException">
+ </exception>
+
+ <exception name="PiuTypeDefinitionMissingInUpgradeControlFileException">
+ <description>Exception thrown when PiuType definitions is missing in the UCF file.</description>
+ </exception>
+
+ <exception name="PiuTypeMO_HandlerException">
+ </exception>
+
+ <exception name="ProductNumberAndRevisionAlreadyInUseException">
+ <description>Exception thrown when the product number and revision is already used.</description>
+ </exception>
+
+ <exception name="ProgramConfigurationException">
+ </exception>
+
+ <exception name="ReconfigureProgramsException">
+ <description>Exception thrown when reconfiguration of programs fails.</description>
+ </exception>
+
+ <exception name="ReferencedElementMissingException">
+ <description>Exception thrown when the referenced element is missing.</description>
+ </exception>
+
+ <exception name="RepertoireMO_HandlerException">
+ </exception>
+
+ <exception name="ReplaceConfigSupportMOsOpException">
+ </exception>
+
+ <exception name="ReserveLoadModulesException">
+ <description>Exception thrown when reserving a Load Module fails.</description>
+ </exception>
+
+ <exception name="SelectiveInstallFailureException">
+ <description>Exception thrown when a selective install fails.</description>
+ </exception>
+
+ <exception name="SlotMO_HandlerException">
+ </exception>
+
+ <exception name="SwAllocationMO_HandlerException">
+ </exception>
+
+ <exception name="UnexpectedAttributeException">
+ <description>Exception thrown when an unexpected attribute occurs at upgrade.</description>
+ </exception>
+
+ <exception name="UnexpectedAttributeFormatException">
+ <description>Exception thrown when an unexpected format of the attribute occurs.</description>
+ </exception>
+
+ <exception name="UnexpectedElementException">
+ <description>Exception thrown when </description>
+ </exception>
+
+ <exception name="UpgradeControlFileDataException">
+ </exception>
+
+ <exception name="UpgradeControlFileNotFoundException">
+ </exception>
+
+ <exception name="UpgradeControlFileParserException">
+ </exception>
+
+ <exception name="UpgradePackageDeleteException">
+ <description>Exception thrown when its not possible to delete the upgrade package.</description>
+ </exception>
+
+ <exception name="UpgradePackageDeleteNotAllowedException">
+ <description>Exception thrown when its not allowed to delete the upgrade package.</description>
+ </exception>
+
+ <exception name="UpgradePackageDoesNotExistException">
+ <description>Exception thrown when the specified upgrade package does not exist.</description>
+ </exception>
+
+ <exception name="UpgradePackageFileSystemErrorException">
+ <description>Exception thrown when an error in the file system occurs.</description>
+ </exception>
+
+ <exception name="UpgradeRejectedException">
+ <description>Exception thrown when the upgrade is rejected.</description>
+ </exception>
+
+ <exception name="ValidateException">
+ </exception>
+
+ <exception name="VerifyChecksumException">
+ <description>Exception thrown when the checksum is incorrect.</description>
+ </exception>
+
+ <exception name="VerifyCreationOfCVsException">
+ <description>Exception thrown when its not possible to verify the creation of CV's.</description>
+ </exception>
+
+ <exception name="VerifyOperationalPIUsException">
+ </exception>
+
+ <exception name="VerifyRejectedException">
+ </exception>
+
+ <exception name="VerifyRequestException">
+ </exception>
+
+ <exception name="VerifySupportedPIUsException">
+ </exception>
+
+ <exception name="VerifyUpgradeHandlerException">
+ </exception>
+
+ <exception name="VerifyUpgradeWindowException">
+ </exception>
+
+ <exception name="UpgradeLogFileNotAccessibleException">
+ <description>The upgrade trace log file is not accessible i.e. the log file exists but it is not possible to access it with write permission.</description>
+ </exception>
+
+ <exception name="UpgradeControlFileException">
+ <description>The file parser has found that the UpgradeControlFile is incorrect.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="UpgradeNotPossibleException">
+ <description>Before an upgrade is started it was found that the upgrade can not take place. A possible reason is that the upgrade package that is running in the node is not in the upgrade window of this upgrade package. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="UpgradeLogFileNotInUseException">
+ <description>The upgrade trace output is currently not directed to a file.
+
+This is a property that can be changed in the trace property file (Trace_01.prop).
+</description>
+ </exception>
+
+ <enum name="UpgradePackageState">
+ <enumMember name="NOT_INSTALLED">
+ <description>The upgrade package is not installed.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="INSTALL_COMPLETED">
+ <description>The upgrade package is completely installed.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="UPGRADE_EXECUTING">
+ <description>Upgrade is executing. </description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="AWAITING_CONFIRMATION">
+ <description>The execution of upgrade needs confirmation before it proceeds.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ONLY_DELETEABLE">
+ <description>In this state an Upgrade Package can only be deleted. Its actions, when invoked, will throw the exception ActionNotAllowed. </description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="INSTALL_EXECUTING">
+ <description>Installation of the upgrade package is executing.</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="INSTALL_NOT_COMPLETED">
+ <description>The upgrade package is not completely installed i.e. parts of it has been installed. </description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="UPGRADE_COMPLETED">
+ <description>The upgrade has been successfully executed.</description>
+ <value>7</value>
+ </enumMember>
+ </enum>
+
+ <enum name="UpgradeProgressInformation">
+ <enumMember name="IDLE">
+ <description>No action is in progress. </description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="DOWNLOADING_FILES">
+ <description>Downloading of files (load modules) in progress.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SAVING_CV">
+ <description>Saving a Configuration Version.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="RECONFIGURING_MOS">
+ <description>Operation ReplaceConfigSupportMOs detected in upgrade.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="INITIATING_LOADER_INFO">
+ <description>Trigger Initiate detected in upgrade sequence.</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="PRELOADING">
+ <description>Trigger Preload detected in upgrade sequence.</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="CONVERSION_OF_PERSISTENT_DATA">
+ <value>6</value>
+ </enumMember>
+ <enumMember name="APPLICATION_SPECIFIC_ACTION">
+ <description>'Unique trigger' for an application detected in upgrade sequence.</description>
+ <value>7</value>
+ </enumMember>
+ <enumMember name="SHUTDOWN_WAIT">
+ <value>8</value>
+ </enumMember>
+ <enumMember name="TAKING_NEW_SOFTWARE_INTO_SERVICE">
+ <value>9</value>
+ </enumMember>
+ <enumMember name="FINISH_AND_CLEANING_UP">
+ <description>The error handling is switched back to normal.</description>
+ <value>10</value>
+ </enumMember>
+ <enumMember name="RESTORING_SYSTEM_STATE">
+ <value>11</value>
+ </enumMember>
+ <enumMember name="EXECUTION_FAILED">
+ <description>Indicates that the execution of an install or an upgrade action has failed.</description>
+ <value>12</value>
+ </enumMember>
+ <enumMember name="CANCEL_OF_INSTALLATION_IS_EXECUTING">
+ <description>Indicates that cancellation of an ongoing installation is in progress.</description>
+ <value>13</value>
+ </enumMember>
+ <enumMember name="CANCEL_OF_INSTALLATION_FAILED">
+ <description>Indicates that the execution of a cancel install action failed.</description>
+ <value>14</value>
+ </enumMember>
+ <enumMember name="VERIFICATION_INITIATED">
+ <value>15</value>
+ </enumMember>
+ <enumMember name="VERIFYING_UPGRADE_FROM_VERSION">
+ <value>16</value>
+ </enumMember>
+ <enumMember name="VERIFYING_POSSIBLE_TO_CREATE_REQ_NO_CVS">
+ <value>17</value>
+ </enumMember>
+ <enumMember name="VERIFYING_PIUS_SUPPORTED">
+ <value>18</value>
+ </enumMember>
+ <enumMember name="VERIFYING_CHECKSUM_FOR_LM">
+ <value>19</value>
+ </enumMember>
+ <enumMember name="VERIFYING_PIUS_NOT_FAULTY">
+ <value>20</value>
+ </enumMember>
+ <enumMember name="VERIFICATION_FINISHED">
+ <value>21</value>
+ </enumMember>
+ <enumMember name="VERIFICATION_FAILED">
+ <value>22</value>
+ </enumMember>
+ </enum>
+
+ <enum name="InvokedAction">
+ <enumMember name="VERIFY_UPGRADE">
+ <description>The verifyUpgrade action has been invoked.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="UPGRADE">
+ <description>An upgrade action (one of the variants) has been invoked.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="INSTALL">
+ <description>An install action (one of the variants) has been invoked.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="CANCEL_INSTALL">
+ <description>The cancelInstall action has been invoked.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="ActionResultData">
+ <structMember name="time">
+ <description>A timestamp.
+
+Format: "Date: 2003-04-24, Time: 20:52:11.182"</description>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ <structMember name="typeOfInvokedAction">
+ <enumRef name="InvokedAction">
+ <defaultValue>VERIFY_UPGRADE</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="info">
+ <enumRef name="Information">
+ <defaultValue>EXECUTED</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="actionId">
+ <description>This id is used to link more than one result to one action</description>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="additionalInfo">
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ </struct>
+
+ <enum name="Information">
+ <enumMember name="EXECUTED">
+ <description>The invoked action has been successfully executed without warnings.One of three possible main results of executed action.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="UNSPECIFIED">
+ <description>A not specified error/ warning has been detected (see additional info for further details)
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="LM_CHECKSUM_VER_FAILED">
+ <description>A load module checksum verification has failed.
+
+Value valid for type of invoked action:
+INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="NOT_ENOUGH_AVAIL_DISK_SPACE">
+ <description> There is not enough available disk space for the required number of new CVs (configuration versions) to be created automatically during an upgrade.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="MAX_NO_CV_WILL_BE_EXCEEDED">
+ <description>The maximum number of allowed CVs (configuration versions) will be exceeded if the required number of new CVs is created automatically during an upgrade.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="UPGRADE_FROM_CURRENT_UP_NOT_ALLOWED">
+ <description>The Upgrade Window element in the Upgrade Control File does not specify the current Upgrade Package as a valid 'upgrade from' version.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="NON_SUPPORTED_PIU">
+ <description>A non supported Plug In Unit (PIU) has been detected i.e. the PIU in the node is not defined in the Upgrade Control File.
+This is not a fault that prevents an upgrade from being executed.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="FAULTY_PIU">
+ <description>A faulty Plug In Unit (PIU) has been detected.
+This is not a fault that prevents an upgrade from being executed.
+
+Value valid for type of invoked action:
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>7</value>
+ </enumMember>
+ <enumMember name="CREATION_OF_CV_FAILED">
+ <description>The auto-creation of an install CV failed.
+
+Value valid for type of invoked action:
+INSTALL
+</description>
+ <value>8</value>
+ </enumMember>
+ <enumMember name="ACTION_NOT_ALLOWED">
+ <description>The requested action is not allowed due to an other action already is in progress for an other UP MO.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>9</value>
+ </enumMember>
+ <enumMember name="INSTALLATION_MANUALLY_CANCELLED">
+ <description>An ongoing installation has been cancelled (aborted) due to request from client.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>10</value>
+ </enumMember>
+ <enumMember name="FTP_SERVER_NOT_ACCESSIBLE">
+ <description>The FTP server to be used for downloads of load modules is not accessible.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>11</value>
+ </enumMember>
+ <enumMember name="INSUFFICIENT_DISK_SPACE_FOR_LOAD_MODULES">
+ <description>The required disk space for load modules to be installed is insufficient.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>12</value>
+ </enumMember>
+ <enumMember name="FTP_SERVER_IP_ADDRESS_ERROR">
+ <description>Error detected in the IP address of the FTP server.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>13</value>
+ </enumMember>
+ <enumMember name="DELTA_INSTALL_MERGE_ERROR">
+ <description>The install of the delta Upgrade Control File (UCF) failed i.e. the analysis of the delta UCF together with current active UP's UCF failed.
+
+Value valid for type of invoked action:
+INSTALL</description>
+ <value>14</value>
+ </enumMember>
+ <enumMember name="SELECTIVE_INSTALL_ERROR">
+ <description>Error detected during the selection phase of an install.
+
+Value valid for type of invoked action:
+INSTALL
+
+</description>
+ <value>15</value>
+ </enumMember>
+ <enumMember name="EXECUTION_FAILED">
+ <description>The execution of invoked action failed.One of three possible main results of executed action.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE
+UPGRADE</description>
+ <value>16</value>
+ </enumMember>
+ <enumMember name="EXECUTED_WITH_WARNINGS">
+ <description>The action has been successfully executed but with warnings.One of three possible main results of executed action.
+
+Value valid for type of invoked action:
+INSTALL
+CANCEL_INSTALL
+VERIFY_UPGRADE</description>
+ <value>17</value>
+ </enumMember>
+ </enum>
+
+ <exception name="FtpServerNotAccessibleException">
+ <description>Ftp server is not accessible. This may depend on erroneous IP address, username, password, or that the IP communication with the Ftp server is down, or that the Ftp server itself is malfunctioning. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="GetFileException">
+ <description>An error was encountered during FTP get file. A filePath in the upgradeControlFile may be inconsistent with a filepath on the Ftp server. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <struct name="PiuTypeProgramConfiguration">
+ <structMember name="tag">
+ <description>The tag is used as a reference between the PiuType MO and the Repertoire MO to find out how to create the program object under a PlugInUnit MO.</description>
+ <string/>
+ </structMember>
+ <structMember name="subParentLDN">
+ <description>Local distinguished name of the program parent from the PlugInUnit.
+
+Example:
+1. "Spu=1,Spm=2" (the program is to be created under: ...PlugInUnit=2,Spu=1,Spm=2")
+2. "" (empty string - the program is to be created directly under the PlugInUnit)</description>
+ <string/>
+ </structMember>
+ <structMember name="programMoTypeName">
+ <description>The name of the Program MO that will be created.</description>
+ <string/>
+ </structMember>
+ <structMember name="loadableProcessorName">
+ <description>The loadableProcessorName is a user friendly name of the processor.</description>
+ <string/>
+ </structMember>
+ </struct>
+
+ <struct name="RepertoireLoadModuleData">
+ <description>This structure contains load module data that belongs to a piu type.
+</description>
+ <structMember name="loadModuleDN">
+ <description>The distinguished name of the load module, which is needed and sufficient to convert the load module to a real object reference.</description>
+ <string/>
+ </structMember>
+ <structMember name="tag">
+ <description>The tag is used as a reference between the PiuType MO and the Repertoire MO to find out how to create the program object under a PlugInUnit MO.</description>
+ <string/>
+ </structMember>
+ </struct>
+
+ <struct name="ConfigurationVersionAttributes">
+ <structMember name="name">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="identity">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="type">
+ <string/>
+ </structMember>
+ <structMember name="upgradePackageId">
+ <string/>
+ </structMember>
+ <structMember name="operatorName">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="operatorComment">
+ <string>
+ </string>
+ </structMember>
+ <structMember name="date">
+ <string/>
+ </structMember>
+ <structMember name="status">
+ <string/>
+ </structMember>
+ </struct>
+
+ <enum name="ConfigurationVersionType">
+ <enumMember name="standard">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="upgrade">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="minimal">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="test">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="autocreate">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="other">
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <enum name="LoadModuleLoaderType">
+ <enumMember name="OseLoader">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SpmLoader">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="Jvm">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="WebServer">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="Other">
+ <value>99</value>
+ </enumMember>
+ <enumMember name="SpmFpgaLoader">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="XpLoader">
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <enum name="LoadModulePreLoadType">
+ <enumMember name="no">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="must">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="wish">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUSwitchOverMode">
+ <description>This attribute can have the following values:
+
+AtPiuFault = Indicates the MP (where the normal RPU is configured) is faulty (HW error). This is the default value.
+
+AtPiuRestart = Indicates the MP (where the normal RPU is configured) is restarted.</description>
+ <enumMember name="AtPiuFault">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="AtPiuRestart">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUNormalisationMode">
+ <description>This enumeration can have the following values:
+
+Manual = Indicates that no normalisation is performed by cello until the operator issues a "switch" action.
+
+Automatic = Indicates that Cello performs the normalisation automatically, after the failed RPU is available again. This is the default value.</description>
+ <enumMember name="Manual">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="Automatic">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUReplicationMode">
+ <description>This enumaration contains the following values:
+
+Always = Data replication is always performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).
+
+Never = Data replication is never performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).
+
+AtShutdown = Each time the RSH (which handles the RPU) is shut down, a complete snapshot is taken and replicated to the other MP, if necessary. Otherwise, the replication is performed according to the characteristics set on each container ( that is associated with the RPU ).
+
+ApplControlled = The data replication is made according to the characteristics set on each container ( that is associated with the RPU ). This is the default value.</description>
+ <enumMember name="Always">
+ <description>Always = Data replication is always performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="Never">
+ <description>Data replication is never performed. This overrides the characteristics set on the each container ( that is associated with the RPU ).</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="AtShutdown">
+ <description>AtShutdown = Each time the RSH (which handles the RPU) is shut down, a complete snapshot is taken and replicated to the other MP, if necessary. Otherwise, the replication is performed according to the characteristics set on each container ( that is associated with the RPU ).</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ApplControlled">
+ <description>ApplControlled = The data replication is made according to the characteristics set on each container ( that is associated with the RPU ). This is the default value.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RPUOperationalMode">
+ <description>This enumeration contains the following values:
+
+AsConfigured = The RSHs runs as the RPU is configured.
+SwitchOver = The RSHs has been switched over.
+Unavailable = No RSHs, that uses this RPU, are running.</description>
+ <enumMember name="AsConfigured">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SwitchedOver">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="Unavailable">
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="PiuTypeMOConfiguration">
+ <structMember name="subParentLDN">
+ <string/>
+ </structMember>
+ <structMember name="moTypeName">
+ <string/>
+ </structMember>
+ <structMember name="identity">
+ <string/>
+ </structMember>
+ </struct>
+
+ <struct name="AirFlowData">
+ <description>Control value for the fan unit. Needed by cooling function.
+</description>
+ <structMember name="normalLowTempAirFlow">
+ <description>Control value for the fan unit running in normal autonomous mode.
+This parameter is the subrack/fanunit airflow value that is required to achieve sufficient cooling at 20 degree
+centigrade or lower ambient temperature of the most demanding plug in unit (PIU) in the subrack. This airflow value
+shall be sufficient (but not unnecessarily large) to secure that no "normal overtemp" fault indication is issued.
+Default values = 250 m3/h. (value &gt;=0)
+</description>
+ <float>
+ <defaultValue>250</defaultValue>
+ </float>
+ </structMember>
+ <structMember name="normalHighTempAirFlow">
+ <description>Control value for the fan unit running in normal autonomous mode. This parameter is the subrack/fanunit airflow
+value that is required to achieve sufficient cooling at 50 degree centigrade ambient temperature of the most
+demanding plug in unit (PIU) in the subrack. This airflow value shall be sufficient (but not unnecessarily large) to
+secure that no "normal overtemp" fault indication is issued.
+Default values = 400 m3/h. (value &gt;=0)</description>
+ <float>
+ <defaultValue>400</defaultValue>
+ </float>
+ </structMember>
+ <structMember name="noiseReducedLowTempAirFlow">
+ <description>Control value for the fan unit running in noise reduction mode. This parameter is the subrack/fanunit airflow value
+that is required to achieve sufficient cooling at 20 degree centigrade or lower ambient temperature of the most
+demanding plug in unit (PIU) in the subrack. This airflow value shall be sufficient (but not unnecessarily large) to
+secure that no "exceptional overtemp" fault indication is issued
+Default values = 250 m3/h. (value &gt;=0)</description>
+ <float>
+ <defaultValue>250</defaultValue>
+ </float>
+ </structMember>
+ <structMember name="noiseReducedHighTempAirFlow">
+ <description>Control value for the fan unit running in noise reduction mode. This parameter is the subrack/fanunit airflow value
+that is required to achieve sufficient cooling at 50 degree centigrade ambient temperature of the most demanding
+plug in unit (PIU) in the subrack. This airflow value shall be sufficient (but not unnecessarily large) to secure
+that no "exceptional overtemp" fault indication is issued.
+Default values = 400 m3/h. (value &gt;=0)</description>
+ <float>
+ <defaultValue>400</defaultValue>
+ </float>
+ </structMember>
+ </struct>
+
+ <exception name="IpAddressException">
+ <description>Erroneous Ip Address Format</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="AueFailureException">
+ <description>An application upgrade engine has reported a failure. </description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="ActionNotAllowedException">
+ <description>The action is not allowed, the UpgradePackage MO is in wrong state.
+
+For example if you try 'upgrade()' before an 'install()' or 'forcedInstall()' has been done.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVFileSystemErrorException">
+ <description>Exception thrown upon file system Error.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVDBErrorException">
+ <description>Data Base Error Exception (error when creating database backup).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVLLPErrorException">
+ <description>LLP Error Exception (error when creating ARMAMENT and LLP.LMID files).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVAlreadyExistsException">
+ <description>The configuration version already exists (e.g. message at create).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVDoesNotExistsException">
+ <description>The configuration version does not exist (e.g. message at setCVAsStartable).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVDeleteNotAllowedException">
+ <description>It is not allowed to delete the configuration version, because it is in use (used as startable or in rollback list).</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVInvalidCVException">
+ <description>The configuration version is not valid (due to missing files).
+
+</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVFormatErrorException">
+ <description>Format Error in given configuration version name.
+
+The following characters are allowed within the name:
+[0-9], [A-Z], [a-z] and '&amp;','%',':','.','_', '-'
+
+Note! For comment and operatorName spaces (' ') are also allowed within the strings.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="CVErrorException">
+ <description>Error Exception.</description>
+ <exceptionParameter name="message">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="InstallException">
+ <description>Indicates that an error in an installation has occurred.</description>
+ <exceptionParameter name="install">
+ <description>The message of the exception.</description>
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="LogActivationException">
+ <description>Exception thrown when a database error occurs.</description>
+ </exception>
+
+ <exception name="InvalidDriveNameException">
+ <description>Exception thrown when an invalid drive name is specified or when a data base error occurs.</description>
+ </exception>
+
+ <exception name="VerifyUpgradeException">
+ </exception>
+
+ <exception name="CVActivityLogFilePathErrorException">
+ <description>Exception thrown when the log file path is wrong.</description>
+ </exception>
+
+ <exception name="CV_MaxNumberOfInstancesException">
+ <description>Exception thrown when max number of CV's in the system has been reached.</description>
+ </exception>
+
+ <exception name="Aal2PathIdNotUniqueException">
+ <exceptionParameter name="message">
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="PathAlreadyRegisteredException">
+ <exceptionParameter name="message">
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="NotInGroupException">
+ </exception>
+
+ <exception name="InvalidReferenceException">
+ </exception>
+
+ <exception name="InvalidPriorityException">
+ <description>Indicates an invalid priority level, i.e. the priority in question is out of the defined range.</description>
+ <exceptionParameter name="invalidPriority">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <struct name="QoSProfileClassA">
+ <description>This struct contains the values applicable for QoS Class A.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>10000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="QoSProfileClassB">
+ <description>This struct contains the values applicable for QoS Class B.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>500000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>20000</max>
+ </range>
+ <defaultValue>15000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="QoSProfileClassC">
+ <description>This struct contains the values applicable for QoS Class C.
+
+NOTE: The attribute 'boundONodeDelay' is not applicable for QoS Class C so setting of this attribute will have no effect.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <defaultValue>25000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="QoSProfileClassD">
+ <description>This struct contains the values applicable for QoS Class D.
+
+NOTE: The attribute 'boundONodeDelay' is not applicable for QoS Class D so setting of this attribute will have no effect.</description>
+ <structMember name="boundOnProbOfDelay">
+ <description>Represents the upper bound on the probability that the delay in the node exceeds the delay limit given by the attribute "boundOnNodeDelay".
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>1</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnProbOfLoss">
+ <description>Represents the upper bound of the cell loss probability in the current node.
+
+Unit is 1/1000000000.</description>
+ <long>
+ <range>
+ <min>0</min> <max>1000000000</max>
+ </range>
+ <defaultValue>1000000000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="boundOnNodeDelay">
+ <description>The upper bound on the delay (delay=queueing and serving of Aal2 packets in an Aal2 mux + delay on ATM layer) through the current node.
+Unit is micro seconds.</description>
+ <long>
+ <defaultValue>50000</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="SupportedQoSClasses">
+ <enumMember name="NO_CLASS_SUPPORTED">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="CLASS_A">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="CLASS_B">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="CLASS_C">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="CLASS_A_C">
+ <value>5</value>
+ </enumMember>
+ <enumMember name="CLASS_B_C">
+ <value>6</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B_C">
+ <value>7</value>
+ </enumMember>
+ <enumMember name="CLASS_D">
+ <value>8</value>
+ </enumMember>
+ <enumMember name="CLASS_A_D">
+ <value>9</value>
+ </enumMember>
+ <enumMember name="CLASS_B_D">
+ <value>10</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B_D">
+ <value>11</value>
+ </enumMember>
+ <enumMember name="CLASS_C_D">
+ <value>12</value>
+ </enumMember>
+ <enumMember name="CLASS_A_C_D">
+ <value>13</value>
+ </enumMember>
+ <enumMember name="CLASS_B_C_D">
+ <value>14</value>
+ </enumMember>
+ <enumMember name="CLASS_A_B_C_D">
+ <value>15</value>
+ </enumMember>
+ </enum>
+
+ <exception name="IsReservedCanNotSetPathIdException">
+ <description>Exception thrown when an attempt is made to set or change the aal2PathId of an Aal2PathVccTp while its reserved by an other Aal2PathGrp</description>
+ </exception>
+
+ <exception name="IsUnlockedCanNotSetPathOwnerException">
+ <description>Exception thrown when an attempt is made to set or change the aal2PathOwner of an Aal2PathVccTp while its not LOCKED.</description>
+ </exception>
+
+ <enum name="SlotState">
+ <description>
+</description>
+ <enumMember name="free">
+ <description>It is free to be pre-configured with a new PlugInUnit. </description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="used">
+ <description>A PlugInUnit is inserted in the slot or the slot is pre-configured with a PlugInUnit.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="coveredByPiu">
+ <description>Currently not supported.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <struct name="AdminProductData">
+ <structMember name="productNumber">
+ <description>The product number of the product or document.
+
+Examples: ABC 101 001, CXC 123 456, 1/BFD 101 999
+
+In the case of UpgradePackage this is the document number of the upgrade package.</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>24</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productRevision">
+ <description>The revision of the product number.
+
+Examples: R1, r1a, R1A02, P7B</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>7</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productName">
+ <description>A user-friendly name of the product.</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>12</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ <structMember name="productInfo">
+ <description>User-friendly information about the product.</description>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ <structMember name="productionDate">
+ <description>The production date can be represented in two ways.
+
+1) The preferable syntax is a four-digit representation of the year, and a two-digit representation of the month and a two-digit representation of the day. The numbers of characters in this case, is eight.
+Example:
+19991231
+
+2) The date can also consist of two digits for the year, followed by the letter W for week and two digits for the number of week. The numbers of characters in this case, is five.
+Example:
+99W12
+</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>8</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </structMember>
+ </struct>
+
+ <struct name="OperProductData">
+ <structMember name="productName">
+ <description>A user-friendly name of the product.
+
+
+
+</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>12</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productNumber">
+ <description>Unique identity for each product, which is constituted by the Ericsson product number (ABC number).
+
+The maximum number of characters for a product number is 24 including 2 slashes, 2 spaces and 2 characters for origination notation.
+
+Example of product number:
+ABC 101 001
+(1/ABC 101 01/1234)
+123/ABCDE 101 1010/12345
+
+.</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>24</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="productRevision">
+ <description>Indicates the revision state of the product. It consists of a letter R or P, one or two digits and/or one or two letters. The maximum number of characters is four inclusive R or P.
+Example: R1A, R1A02, P7B
+
+A suffix may be added to the revision state. The suffix may consists of one or two digits or one letter.
+
+
+</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>7</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="serialNumber">
+ <description>The serial number consists of 13 alphanumerical characters grouped as 6 plus 7 characters. The first 6 characters are to be used for factory codes and the last 7 characters are used for an individual number or batch number.
+
+</description>
+ <string/>
+ </structMember>
+ <structMember name="productionDate">
+ <description>The production date can be represented in two ways.
+
+1) The preferable syntax is a four-digit representation of the year, and a two-digit representation of the month and a two-digit representation of the day. The numbers of characters in this case, is eight.
+Example:
+19991231
+
+2) The date can also consist of two digits for the year, followed by the letter W for week and two digits for the number of week. The numbers of characters in this case, is five.
+Example:
+99W12
+
+</description>
+ <string>
+ <lengthRange>
+ <min>5</min> <max>8</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ </struct>
+
+ <enum name="LoadClass">
+ <description>Defines the phase in the load and start sequence.
+</description>
+ <enumMember name="coreOs">
+ <value>10</value>
+ </enumMember>
+ <enumMember name="coreDevice">
+ <value>50</value>
+ </enumMember>
+ <enumMember name="loadListProvider">
+ <value>100</value>
+ </enumMember>
+ <enumMember name="coreEarly">
+ <value>200</value>
+ </enumMember>
+ <enumMember name="coreLate">
+ <value>300</value>
+ </enumMember>
+ <enumMember name="networkAndConnectionHandling">
+ <value>400</value>
+ </enumMember>
+ <enumMember name="application">
+ <value>500</value>
+ </enumMember>
+ <enumMember name="upgrade">
+ <value>600</value>
+ </enumMember>
+ </enum>
+
+ <enum name="StartState">
+ <enumMember name="disabled">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="enabled">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SubrackType">
+ <enumMember name="hub">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="device">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="PiuRole">
+ <enumMember name="mp">
+ <description>The board is a Main processor.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="bp">
+ <description>The board is a device board.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="others">
+ <description>The board is not a Main processor or a device board. </description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RestartCause">
+ <description>This attribute indicates the restart cause of plugInUnit. The defined values are:
+1. piuRestartError: restart because of error.
+2. piuRestartUpgrade: restart because of system upgrade.
+</description>
+ <enumMember name="piuRestartUpgrade">
+ <description>This attributes indicates that the Piu restart cause is upgrade.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="piuRestartError">
+ <description>This attributes indicates that the Piu restart cause is error.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="FanState">
+ <description>The Fan can be in one of three controlling state:
+- standalone: not manageable, full speed fans
+- autonomous: manageable, air-flow control
+- noiseReduced: time-limited low speed fan</description>
+ <enumMember name="standalone">
+ <description>It's running on itself, not manageable.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="autonomous">
+ <description>Manageable state.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="noiseReduced">
+ <description>Temporary state with reduced cooling.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SeqRestarts">
+ <enumMember name="RESTARTS_WITH_NO_LIMITS">
+ <description>This attributes indicates unlimited restarts and no faulty marking of the PIU. Used for critical PIU's such as TUBs, SCBs and some ET-boards.
+</description>
+ <value>-1</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_WARM">
+ <description>This attributes indicates the following sequential restarts:
+-warm
+-refresh
+-cold
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_REFRESH">
+ <description>This attributes indicates the following sequential restarts:
+-refresh
+-cold
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_COLD">
+ <description>This attributes indicates the following sequential restarts:
+-cold
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="RESTARTS_WITH_FAULT_MARKED">
+ <description>This attributes indicates the following sequential restarts:
+-cold with fault marked (not loaded). Alarm sent.</description>
+ <value>0</value>
+ </enumMember>
+ </enum>
+
+ <exception name="RepertoireNotFoundException">
+ <description>This class is an exception class thrown when a equipment specific error occurs.
+</description>
+ </exception>
+
+ <exception name="SwAllocationNotFoundException">
+ <description>This class is an exception class thrown when a equipment specific error occurs.
+</description>
+ </exception>
+
+ <exception name="WebServerPathConfigurationException">
+ <description>This class is an exception class thrown when failed to configure the webserver root path
+</description>
+ </exception>
+
+ <exception name="FroLocationFailedException">
+ </exception>
+
+ <exception name="FroShutdownException">
+ </exception>
+
+ <exception name="NotUniqueLineNoException">
+ </exception>
+
+ <exception name="WrongPhysPathTermTypeException">
+ </exception>
+
+ <enum name="OperState">
+ <enumMember name="disabled">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="enabled">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AdmState">
+ <enumMember name="locked">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="unlocked">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="shuttingDown">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <exception name="OccupiedException">
+ </exception>
+
+ <exception name="NotReservedException">
+ </exception>
+
+ <exception name="NotSupportedException">
+ </exception>
+
+ <enum name="SilPortState">
+ <description>Indication of Switch Internal Link port status.</description>
+ <enumMember name="portActive">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="portPassive">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="portNotConnected">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="portLocked">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="portNotInstalled">
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <exception name="InvalidIndexException">
+ <description>Index specified is invalid, i.e. it is out of the range of valid indices.</description>
+ <exceptionParameter name="invalidIndex">
+ <description>The exception message.</description>
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="TransactionException">
+ </exception>
+
+ <exception name="UndeletableMoException">
+ <description>Exception thrown when MO is not possible to delete.</description>
+ </exception>
+
+ <exception name="FroNotAccessibleException">
+ <description>Exception that is thrown when a FRO (Facade Resource Object in the resource layer) cannot be accessed.</description>
+ </exception>
+
+ <exception name="FroRequestFailedException">
+ <description>Exception that is thrown when the result of a request to a FRO (Facade Resource Object in the resource layer) is not ok.</description>
+ </exception>
+
+ <exception name="MoReservedByOtherUserException">
+ <description>Exception thrown when the MO is already reserved by another user (MO).</description>
+ </exception>
+
+ <exception name="MoHasChildrenException">
+ <description>Exception class thrown when an MO is requested to be deleted, but the MO has children, which must be deleted first.</description>
+ </exception>
+
+ <exception name="MoReservedNotDeletableException">
+ <description>Exception thrown when trying to delete an MO that is reserved by another MO (user).</description>
+ </exception>
+
+ <exception name="MaxNumberExceededException">
+ <description>Exception thrown when the maximum number of childrens for an MO is exceeded.</description>
+ </exception>
+
+ <exception name="AttrValueNotUniqueException">
+ <description>Exception thrown when a value of an attribute is not unique.</description>
+ </exception>
+
+ <exception name="EquipException">
+ </exception>
+
+ <exception name="IpFormatException">
+ </exception>
+
+ <exception name="IpException">
+ </exception>
+
+ <exception name="TransactionRequiredException">
+ <description>Exception class thrown when an MO is accessed outside a transaction but the access method requires a transaction.</description>
+ </exception>
+
+ <exception name="ValueOutOfRangeException">
+ <description>Exception thrown when an MO attribute is requested to be set, but the requested value is not accepted.</description>
+ </exception>
+
+ <exception name="WrongAttributeTypeException">
+ <description>Exception thrown when an MO attribute is requested to be set, but the requested value is of the wrong type. </description>
+ </exception>
+
+ <exception name="NotHandledAttributeTypeException">
+ <description>Exception class thrown when an attribute of not handled type is requested. </description>
+ </exception>
+
+ <exception name="NoSuchFieldException">
+ <description>Exception class thrown when a Struct is accessed but the reqested Struct element is not found. </description>
+ </exception>
+
+ <exception name="MoCanNotBeCreatedException">
+ </exception>
+
+ <exception name="NoSuchAttributeException">
+ <description>Exception thrown when an MO attribute is requested to be accessed but the access
+method for the is not defined (the attribute can not be accessed)</description>
+ </exception>
+
+ <exception name="MoCardinalityViolationException">
+ <description>Exception class thrown when the creation of an MO fails due to child - parent
+cardinality violation. The cardinality is specified in the MIM. </description>
+ </exception>
+
+ <exception name="IllegalParentException">
+ <description>Exception thrown when the parent of the MO is of the wrong type.</description>
+ </exception>
+
+ <exception name="AttrNotSettableAtCreateException">
+ <description>Exception thrown when a create is ordered with
+attribute values that are not settable at create.</description>
+ </exception>
+
+ <exception name="AttrMissingAtCreateException">
+ <description>Exception thrown when an attribute that is mandatory at create is missing.</description>
+ </exception>
+
+ <exception name="MoNameAlreadyTakenException">
+ <description>Exception thrown when an MO is created and the distinguished name of the MO is already in use.</description>
+ </exception>
+
+ <exception name="IllegalArgumentTypeException">
+ <description>Exception thrown if the argument supplied is of the wrong type, for example in an action.</description>
+ </exception>
+
+ <exception name="IllegalAttributeValueException">
+ <description>Exception thrown if the value of the attribute is not valid.</description>
+ </exception>
+
+ <enum name="RestartRank">
+ <enumMember name="RESTART_WARM">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="RESTART_REFRESH">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="RESTART_COLD">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AvailabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <enumMember name="IN_TEST">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="FAILED">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="POWER_OFF">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="OFF_LINE">
+ <value>8</value>
+ </enumMember>
+ <enumMember name="OFF_DUTY">
+ <value>16</value>
+ </enumMember>
+ <enumMember name="DEGRADED">
+ <value>64</value>
+ </enumMember>
+ <enumMember name="NOT_INSTALLED">
+ <value>128</value>
+ </enumMember>
+ <enumMember name="LOG_FULL">
+ <value>256</value>
+ </enumMember>
+ <enumMember name="DEPENDENCY_LOCKED">
+ <value>544</value>
+ </enumMember>
+ <enumMember name="DEPENDENCY_FAILED">
+ <value>1056</value>
+ </enumMember>
+ <enumMember name="DEPENDENCY_SHUTTINGDOWN">
+ <value>2080</value>
+ </enumMember>
+ <enumMember name="NO_STATUS">
+ <value>0</value>
+ </enumMember>
+ </enum>
+
+ <exception name="InvalidMoReferenceException">
+ <description>Exception thrown when the submitted MO reference is invalid.</description>
+ </exception>
+
+ <exception name="FroNotLockedException">
+ <description>Exception thrown when a fRo cannot be accessed.</description>
+ </exception>
+
+ <exception name="GeneralErrorException">
+ <description>Exception thrown by an MO when there is a general error.</description>
+ </exception>
+
+ <exception name="IdentityNotAllowedException">
+ <description>Exception thrown when an identity (LDN) specified at create is not allowed.</description>
+ </exception>
+
+ <exception name="IllegalMoTypeException">
+ <description>Exception thrown when a reference to an MO is of a unexpected/wrong MO type.</description>
+ </exception>
+
+ <exception name="ImpossibleCollectAtmInfoException">
+ <description>Exception thrown when gathering of ATM info fails.</description>
+ </exception>
+
+ <exception name="MoCanNotBeDeletedException">
+ <description>Exception thrown when its not possible to delete an MO.</description>
+ </exception>
+
+ <exception name="MoNotPossibleToReserveException">
+ <description>Exception thrown when by an MO if its not possible to reserve the MO.</description>
+ </exception>
+
+ <exception name="MoNotReservedByUserException">
+ <description>Exception thrown when a user tries to release an MO that is not reserved by this user.</description>
+ </exception>
+
+ <exception name="NoDiskException">
+ <description>Exception thrown when there is no hard disk.</description>
+ </exception>
+
+ <exception name="RemovalFailedException">
+ <description>Exception thrown when an element cannot be removed from a sequence.</description>
+ </exception>
+
+ <exception name="RestartRejectedException">
+ <description>Exception thrown when restart of the PlugInUnit is not allowed.</description>
+ </exception>
+
+ <enum name="SyncMode">
+ <description>The Sync Mode Operation of the node.</description>
+ <enumMember name="NOT_USED">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="CDMA">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="WCDMA">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp2ProfileDataAnsi">
+ <structMember name="suermT">
+ <description>SUERM parameter T.
+Measured in SU units.</description>
+ <long>
+ <range>
+ <min>1</min> <max>511</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermD">
+ <description>SUREM parameter D.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>256</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermN">
+ <description>SUERM parameter N.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>16</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tin">
+ <description>Normal AERM threshold, Tin. Number of signal errors that cause abortion of a normal proving peroid.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tie">
+ <description>Emergency AERM threshold, Tie. Number of signal units errors that cause abortion of an emergency proving period.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="provings">
+ <description>Proving abort limit. Number of consecutive aborted proving periods that will cause a return to the Out Of Service state.
+
+Measurement units are the number of proving periods.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Maximum time to stay in Aligned Ready state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>12900</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Maximum time to stay in Not Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>32700</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Maximum time to stay in Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>11500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4n">
+ <description>Length of the normal proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>4000</max>
+ </range>
+ <defaultValue>2300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4e">
+ <description>Length of the emergengcy proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>4000</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Time between sending Status Indication "B" while in the Congested state.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Maximum time a remote site may remain in the congestated state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>8100</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT7">
+ <description>Maximum time to wait for an expected acknowledgement of an MSU before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="bitRate">
+ <description>The speed of the link. Only supported values are 64 and 56 kbit/s.
+0 = 64 kbit/s.
+1 = 56 kbit/s.
+
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="errorCorrection">
+ <description>The error correction algorithm to use. Only Basic Error Correction (BEC) is supported.
+0 = BEC
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet1">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 1 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet2">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 2 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet3">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 3 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement1">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 1 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement2">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 2 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement3">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 3 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel1">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 1 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel2">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 2 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel3">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 3 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n1Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n2Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp2ProfileDataItu">
+ <structMember name="suermT">
+ <description>SUERM parameter T.
+Measured in SU units.</description>
+ <long>
+ <range>
+ <min>1</min> <max>64</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermD">
+ <description>SUREM parameter D.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>256</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermN">
+ <description>SUERM parameter N.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>16</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tin">
+ <description>Normal AERM threshold, Tin. Number of signal errors that cause abortion of a normal proving peroid.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tie">
+ <description>Emergency AERM threshold, Tie. Number of signal units errors that cause abortion of an emergency proving period.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="provings">
+ <description>Proving abort limit. Number of consecutive aborted proving periods that will cause a return to the Out Of Service state.
+
+Measurement units are the number of proving periods.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Maximum time to stay in Aligned Ready state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>65500</max>
+ </range>
+ <defaultValue>40000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Maximum time to stay in Not Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>262000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Maximum time to stay in Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4n">
+ <description>Length of the normal proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>7500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4e">
+ <description>Length of the emergengcy proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Time between sending Status Indication "B" while in the Congested state.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Maximum time a remote site may remain in the congestated state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>8100</max>
+ </range>
+ <defaultValue>3000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT7">
+ <description>Maximum time to wait for an expected acknowledgement of an MSU before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="bitRate">
+ <description>The speed of the link. Only supported values are 64 and 56 kbit/s.
+0 = 64 kbit/s.
+1 = 56 kbit/s.
+
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="errorCorrection">
+ <description>The error correction algorithm to use. Only Basic Error Correction (BEC) is supported.
+0 = BEC
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet1">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 1 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet2">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 2 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet3">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 3 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement1">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 1 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement2">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 2 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement3">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 3 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel1">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 1 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel2">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 2 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel3">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 3 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n1Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n2Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp2ProfileDataChina">
+ <structMember name="bitRate">
+ <description>The speed of the link. Only supported values are 64 and 56 kbit/s.
+0 = 64 kbit/s.
+1 = 56 kbit/s.
+
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="errorCorrection">
+ <description>The error correction algorithm to use. Only Basic Error Correction (BEC) is supported.
+0 = BEC</description>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="provings">
+ <description>Proving abort limit. Number of consecutive aborted proving periods that will cause a return to the Out Of Service state.
+
+Measurement units are the number of proving periods.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermD">
+ <description>SUERM parameter D.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>256</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermN">
+ <description>SUERM parameter N.
+Measurement units are in SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>256</max>
+ </range>
+ <defaultValue>16</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="suermT">
+ <description>SUERM parameter T.
+Measured in SU units.</description>
+ <long>
+ <range>
+ <min>1</min> <max>64</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tie">
+ <description>Emergency AERM threshold, Tie. Number of signal units errors that cause abortion of an emergency proving period.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Maximum time to stay in Aligned Ready state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>65500</max>
+ </range>
+ <defaultValue>45000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Maximum time to stay in Not Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>262000</max>
+ </range>
+ <defaultValue>132000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Maximum time to stay in Aligned state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4e">
+ <description>Length of the emergengcy proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4n">
+ <description>Length of the normal proving period.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>16300</max>
+ </range>
+ <defaultValue>8200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Time between sending Status Indication "B" while in the Congested state.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Maximum time a remote site may remain in the congestated state before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>8100</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT7">
+ <description>Maximum time to wait for an expected acknowledgement of an MSU before signalling link failure.
+
+Measurement units are milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>2000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="tin">
+ <description>Normal AERM threshold, Tin. Number of signal errors that cause abortion of a normal proving peroid.
+
+Measurement units are SUs.</description>
+ <long>
+ <range>
+ <min>1</min> <max>7</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet1">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 1 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet2">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 2 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet3">
+ <description>Percentage of the Transmit Buffer that will cause MTP2 to signal congestion for prio 3 messages towards client.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement1">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 1 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement2">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 2 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement3">
+ <description>Percentage of the Transmit Buffer that ceases congestion for prio 3 messages.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel1">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 1 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel2">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 2 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionDiscardLevel3">
+ <description>Percentage of the Transmit Buffer where messages sent to MTP2 from client with priority less than 3 is discarded by MTP2.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n1Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="n2Onset">
+ <description>Number of MSUs in ReTransmit Buffer before onset of preventive retransmission in PCR.
+NOTE: Only valid if errorCorrection==SCC_MTP2_ERROR_CORRECTION_PCR.
+This value is ignored otherwise.</description>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="EmergencyStatus">
+ <description>This enumeration specifies the different stages of the emergency state.</description>
+ <enumMember name="NEVER_USED">
+ <description>Emergency state has not been activated since installation of license key file.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ACTIVE">
+ <description>All capacity restrictions removed and all features enabled. The maximum time in this state is 7 days.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="USE_DEGRADED">
+ <description>A new license key file has to be istalled in order not to jopardize future use and performance.
+The maximum time in this state is 7 days.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ACTIVE_AGAIN">
+ <description>All features enabled again for a maximum period of 7 days then a new license key file has to be installed in order to be able to use this node.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="USE_DISABLED">
+ <description>Use of the node is no longer allowed since no new license key file has been installed.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="EmergencyInfo">
+ <description>This attribute provides information about the current EmergencyState.
+
+Note: for the states NEVER_USED and USE_DISABLED the return value for time is insignificant.</description>
+ <structMember name="state">
+ <description>The current emergency state of the license server.</description>
+ <enumRef name="EmergencyStatus">
+ <defaultValue>NEVER_USED</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="time">
+ <description>This attribute holds information on the time elapsed in this state.
+
+Note: The time out values for the states ALL_OPEN, DEGADED and PROLONGED is 7 days. The unit for this attribute is seconds.
+1 day = 86400 seconds
+7 days = 604800 seconds.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="NodeRestartType">
+ <enumMember name="WARM_AT_PIU_RESTART">
+ <description>Warm node restart at restart of the last PIU.</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="COLD_AT_PIU_RESTART">
+ <description>Cold node restart at restart of the last PIU.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="WARM_AT_PIU_FAULT">
+ <description>Warm node restart at fault mark of the last PIU.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="COLD_AT_PIU_FAULT">
+ <description>Cold node restart at fault mark of the last PIU.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <struct name="UniSaalProfileData">
+ <structMember name="maxPD">
+ <description>Maximum number of SD PDUs before a poll is sent.
+
+maxPD &lt; initialCredit</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>25</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxStat">
+ <description>Maximum number, odd integer value, of list elements placed in a STAT PDU.
+
+Note: The maxStat attribute is dependent on the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The maxStat times 4 (octets) plus additional 12 octets (for header) must not exceed the AAL5 maximum SDU size (lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+The consistency control is not performed until the UniSaalTp MO is created since there is no relation between the UniSaalProfile MO and the Aal5TpVccTp MO.</description>
+ <long>
+ <range>
+ <min>3</min> <max>1001</max>
+ </range>
+ <defaultValue>67</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="initialCredit">
+ <description>Initial number of credits.
+
+Note: For 64 kbit/s use 30.
+
+Note! The value for initialCredit must be larger than the value of maxPD.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>1000</max>
+ </range>
+ <defaultValue>250</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerKeepAlive">
+ <description>Timer to be used during a period of no outstanding acknowledgements or new data pending receipt of credit. At timeout the peer is polled to see if it is alive. The timer determines the interval between polls in transient phase. This timer should be greater than the roundtripdelay and also greater than timerpoll. Time unit = milliseconds.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerNoResponse">
+ <description>Timer to determine the maximum time interval during which at least one STAT PDU reception is expected as a response to a poll. This timer should be equal to timerKeepAlive+roundtripdelay. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>7000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerIdle">
+ <description>Timer running when there are no PDUs to transmit and there are no outstanding acknowledgements or data pending for credit. When this timer is running, no POLL PDUs are sent. This timer should be significantly greater than timerKeepAlive value. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>1000</min> <max>600000</max>
+ </range>
+ <defaultValue>15000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerCC">
+ <description>Timer determining the time between re-transmission of PDUs: BGN, END, ER, RS. Should be slightly more than the roundtripdelay. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerPoll">
+ <description>Timer that specifies the maximum time between sending of POLL PDUs to the peer receiver during other traffic (active phase). Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>750</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxCC">
+ <description>Maximum number of re-transmissions of PDUs: BGN, END, ER, RS. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionOnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion. Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationAbatement &lt; congestationOnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionAbatement">
+ <description>Attribute specifying the percentage of the buffer for which congestion is stopped. If the congestionAbatement level is lesser but almost equal to congestionOnSet, congestion alarms might be raised and ceased very often.
+
+This attribute should follow the rule 0 &lt;= congestationAbatement &lt; congestationOnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="NniSaalProfileData">
+ <description>Struct holding the values for an NniSaalProfile.
+
+NOTE: Congestition Level 1 - 3 is supported.</description>
+ <structMember name="timerPoll">
+ <description>Timer that specifies the maximum time between sending of POLL PDUs to the peer receiver during other traffic (active phase). Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxCC">
+ <description>Maximum number of re-transmissions of PDUs: BGN, END, ER, RS.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxPD">
+ <description>Maximum number of SD PDUs before a poll is sent.
+
+maxPD &lt; initialCredit</description>
+ <long>
+ <range>
+ <min>0</min> <max>10000</max>
+ </range>
+ <defaultValue>25</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxStat">
+ <description>Maximum number, odd integer value, of list elements placed in a STAT PDU.
+
+Note: The maxStat attribute is controlled by the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The maxStat times 4 (octets) plus additional 12 octets (for header) must not exceed the AAL5 maximum SDU size (lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+
+The consistency control is not performed until the NniSaalTp MO is created since there is no relation between the NniSaalProfile MO and the Aal5TpVccTp MO.</description>
+ <long>
+ <range>
+ <min>3</min> <max>1001</max>
+ </range>
+ <defaultValue>67</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="initialCredit">
+ <description>Initial number of credits.
+
+Note: For 64 kbit/s use 30.
+
+Note! The value for initialCredit must be larger than the value of maxPD.</description>
+ <long>
+ <range>
+ <min>10</min> <max>1000</max>
+ </range>
+ <defaultValue>250</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerKeepAlive">
+ <description>Timer to be used during a period of no outstanding acknowledgements or new data pending receipt of credit. At timeout the peer is polled to see if it is alive. The timer determines the interval between polls in transient phase. This timer should be greater than the roundtripdelay and also greater than timerpoll. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerNoResponse">
+ <description>Timer to determine the maximum time interval during which at least one STAT PDU reception is expected as a response to a poll.This timer should be equal to timerKeepAlive+roundtripdelay. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerIdle">
+ <description>Timer running when there are no PDUs to transmit and there are no outstanding acknowledgements or data pending for credit. When this timer is running, no POLL PDUs are sent. This timer should be significantly greater than timerKeepAlive value. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerCC">
+ <description>Timer determining the time between re-transmission of PDUs: BGN, END, ER, RS. Time unit = milliseconds.
+Should be more than the roundtrip delay.</description>
+ <long>
+ <range>
+ <min>10</min> <max>10000</max>
+ </range>
+ <defaultValue>200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="mps">
+ <description>Management Proving Status which is used to decide if proving is done or not during SAAL connection setup.
+</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel1OnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationLevel1OnSet &lt;= congestationLevel2OnSet &lt;= congestationLevel3OnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>70</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel2OnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationLevel1OnSet &lt;= congestationLevel2OnSet &lt;= congestationLevel3OnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel3OnSet">
+ <description>Attribute specifying the percentage of the buffer that must be filled in order to cause congestion.
+Congestion is notified to the users of this protocol layer. The part of the buffer above the congestion
+level is used to take care of messages that were sent before the users stopped sending.
+
+This attribute should follow the rule 0 &lt;= congestationLevel1OnSet &lt;= congestationLevel2OnSet &lt;= congestationLevel3OnSet &lt;= 100.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>90</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="congestionLevel1Abatement">
+ <description>Attribute specifying the percentage of the buffer for which congestionLevel1 is stopped. If the congestionAbatement level is lesser but almost equal to congestionOnSet, congestion alarms might be raised and ceased very often.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="nrOfPDUsDuringProving">
+ <description>The length of proving in terms of number of transmitted PDUs (n1).
+noOfPDUsDuringProving x T3 &lt; T2
+
+For the TTC standard the only allowed value is 0 (which means no proving).</description>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="maxNRP">
+ <description>State variable which defines max number of retransmissions of PDUs during proving to consider proving successful.
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerNoCredit">
+ <description>Maximum time of no credit. If the timer expires, the assured data transfer mode is released.</description>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerRepeatSrec">
+ <description>Timer which is set whenever a report of SSCOP recovery is received from the SSCF. If the timer is running when another report of recovery is received, the assured data transfer mode is released. If the timer expires, nothing is done. Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>0</min> <max>86400000</max>
+ </range>
+ <defaultValue>3600000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Timer between the link release action and the next link re-establish action during the alignment. At expiry of timer T1, a new attempt to setup assured data transfer mode to the peer is done. Time unit = milliseconds.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>100000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Total time that SSCF will attempt alignment. At expiry of timer T2, the alignment procedure will be interrupted.
+ Time unit = milliseconds.
+</description>
+ <long>
+ <range>
+ <min>10</min> <max>200000</max>
+ </range>
+ <defaultValue>30000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Time between proving PDUs. The timer is set such that loading of the signalling link is approximately 50% of its nominal rate.
+Time unit = milliseconds.</description>
+ <long>
+ <range>
+ <min>5</min> <max>1000</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <exception name="ListOfTimeSlotsNotUniqueException">
+ <description>Exception thrown when a time slot appears more than once in the time slot list.</description>
+ </exception>
+
+ <enum name="RefActivity">
+ <description>RefActivity can have the following values:
+
+inactive = Synchronization reference is currently not used in system clock generation.
+active = Synchronization is used in system clock generation.
+</description>
+ <enumMember name="inactive">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="active">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RefState">
+ <description>RefState can have the following values:
+failed = synchronization reference is not capable to perform its required tasks.
+degraded = capability of synchronization reference to perform its required tasks is degraded e.g. because of signal level degradation. This value is only applicable for traffic carrying (ET physical path termination) synchronization references. Note: attribute degradationIsFault controls whether synchronization reference degradation is interpreted as a synchronization reference fault or not.
+lossOfTracking = system clock regulation algorithm on TU board can not follow the 8kHz synchronization reference signal either because of the poor quality of the signal or because of a HW fault at TU board. If all synchronization references repeatedly end up to state lossOfTracking, fault is likely in TU HW.
+ok = synchronization reference is capable of performing its required tasks.
+</description>
+ <enumMember name="failed">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="degraded">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="lossOfTracking">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ok">
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="FaultCriteria">
+ <description>FaultCriteria can have the following values:
+degrNotFault = synchronization reference degradation is NOT interpreted as a synchronization reference fault.
+degrIsFault = synchronization reference degradation is interpreted as a synchronization reference fault.</description>
+ <enumMember name="degrNotFault">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="degrIsFault">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="ClockState">
+ <description>ClockState can have the following values:
+startUp =
+locked = system clock signal on Timing Unit is locked: a synchronization reference is used in the system clock generation, clock accuracy is 50 ppb or better.
+holdOver = system clock signal on Timing Unit is hold-over: no synchronization reference is used in system clock generation, clock accuracy is 50 ppb or better.
+freeRunning = system clock signal on Timing Unit is free running: no synchronization reference is used in system clock generation, clock accuracy is 4.6 ppm or better.
+failed = system clock signal on Timing Unit is failed: fault in system clock generation function, no quarantee of clock accuracy level.
+not Applicable = system clock signal on Timing Unit is not applicable: TU board not present.</description>
+ <enumMember name="unknownMode">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="startupMode">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="lockedMode">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="holdOverMode">
+ <value>3</value>
+ </enumMember>
+ <enumMember name="freeRunningMode">
+ <value>4</value>
+ </enumMember>
+ <enumMember name="failedMode">
+ <value>5</value>
+ </enumMember>
+ <enumMember name="lossOfTrackingMode">
+ <value>6</value>
+ </enumMember>
+ <enumMember name="notApplicable">
+ <value>7</value>
+ </enumMember>
+ </enum>
+
+ <exception name="AlreadyRegisteredException">
+ <description>Exception thrown when an object is already registered.</description>
+ </exception>
+
+ <exception name="MaxNoOfRefRegisteredException">
+ <description>Exception thrown when the maximum number of references is reached.</description>
+ </exception>
+
+ <exception name="PriorityAlreadyInUseException">
+ <description>Exception thrown when a priority is already in use.</description>
+ </exception>
+
+ <exception name="PriorityOutOfRangeException">
+ <description>Exception thrown when the priority is out of range.</description>
+ </exception>
+
+ <exception name="NotPermittedException">
+ <description>Exception thrown when an reference is not valid, null or of incorrect type.</description>
+ </exception>
+
+ <exception name="NotRegisteredException">
+ <description>Exception thrown when trying to de-register an object that is not registered in the database.</description>
+ </exception>
+
+ <enum name="SystemClockRedundancy">
+ <enumMember name="SYSTEM_CLOCK_USERS_USE_PLANE_A">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SYSTEM_CLOCK_USERS_USE_PLANE_B">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SYSTEM_CLOCK_USERS_USE_PLANE_A_AND_B">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <exception name="NotUniqueFroIdException">
+ <description>Exception thrown when a fro Id is not unique.</description>
+ </exception>
+
+ <enum name="ActiveSwitchPlane">
+ <enumMember name="switchPlaneA">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="switchPlaneB">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="switchPlaneBoth">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SwitchReliableGroup">
+ <description>A predefined group consisting of working links and their corresponding protecting links. These links form the n+m link redundancy. </description>
+ <enumMember name="physicalLinkStatusWorking">
+ <description>Defines physical link status.
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="physicalLinkStatusProtecting">
+ <description>Defines physical link status.
+</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="physicalLinkStatusUndefined">
+ <description>Defines physical link status.
+</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="GlobalTitleData">
+ <structMember name="indicator">
+ <description>Global title indicator should have following values for both ITU and TTC:
+
+The values for the GT Indicator
+
+Value Comment
+
+0 No new global title
+2 TT only
+3 TT-NP-ES
+4 TT-NP-ES-NOA
+
+Explanation:
+
+TT = translation type
+NP = numbering plan
+ES = encoding scheme
+NOA = nature of address indication</description>
+ <long>
+ <range>
+ <min>0</min> <max>4</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="natureOfAddress">
+ <description>Indicates number type, i.e. national or international number.
+Coding of the Nature Address:
+
+0 = "unknown"
+1 = "Subscriber number"
+2 = "Reserved for national use"
+3 = "National significant number"
+4 = "International number"</description>
+ <long>
+ <range>
+ <min>0</min> <max>4</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="numberingPlan">
+ <description>Indicates which numbering plan that is used.
+
+Coding of the Numbering Plan:
+
+0 = "Unknown"
+1 = "ISDN/Telephony Numbering Plan"
+3 = "Data Numbering Plan"
+4 = "Telex Numbering Plan"
+5 = "Maritime Mobile Numbering Plan"
+6 = "Land Mobile Numbering Plan"
+7 = "ISDN/Mobile Numbering Plan"
+</description>
+ <long>
+ <range>
+ <min>0</min> <max>7</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="translationType">
+ <description>Used to direct the message to the appropriate Global Title translation function.</description>
+ <long>
+ <range>
+ <min>0</min> <max>254</max>
+ </range>
+ </long>
+ </structMember>
+ <structMember name="addressInformation">
+ <description>Digit string of 1-37 digits, where each digit is 0-9, and B, C. B and C are for Code 11 and Code 12.
+The addressInformation may also contain wild card symbols: "*" or "?". Wild cards are only allowed for defining Global Title Translations, they are not allowed for specifying SCCP SAPs (built with SPC and Global Title or SPC + SSN + Global Title).</description>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>37</max>
+ </lengthRange>
+ </string>
+ </structMember>
+ <structMember name="encodingScheme">
+ <description>Indicates if the number of address signals are an odd or even number, and is coded as follows:
+
+0 = "Unknown"
+1 = "BCD, odd number of digits"
+2 = "BCD, even number of digits"
+
+Other values in the encoding scheme will result in the error "Wrong Nature Of Address", (Routing failure reason=No translation for an address of such nature).</description>
+ <long>
+ <range>
+ <min>0</min> <max>2</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="SharingMode">
+ <enumMember name="loadShare">
+ <description>The when sharing mode is set to loadShare the SCCP traffic is load shared between the two entities (access points).</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="dupliDominant">
+ <description>When the sharing mode is set to dupliDominant the second entity (access point) is a backup for the first entity.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="EncodingScheme">
+ <description>Possible values for number signalling.</description>
+ <enumMember name="UNKNOWN">
+ <description>Unkown encoding scheme.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ODD_NUMBER">
+ <description>BCD, odd number of digits.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="EVEN_NUMBER">
+ <description>BCD, even number of digits.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="GlobalTitleIndicator">
+ <description>Global title indicator values for China, ITU, TTC and ANSI.
+
+Note: China, ITU and TCC will use TT_ONLY, TT_NP_ES, TT_NP_ES_NOA, NOA_ONLY.
+
+ANSI will use:
+ANSI_TT_NP_ES = 5
+ANSI_TT_ONLY = 6
+</description>
+ <enumMember name="TT_ONLY">
+ <description>Translation Type only.
+
+Only valid for China, ITU and TTC.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="TT_NP_ES">
+ <description>Translation Type, Numbering Plan and Encoding Scheme.
+
+Only valid for China, ITU and TTC.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="TT_NP_ES_NOA">
+ <description>Translation Type, Numbering Plan, Encoding Scheme, and Nature Of Address indication.
+
+Only valid for China, ITU and TTC.</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="NOA_ONLY">
+ <description>Nature of Address indicator only.
+
+Only valid for China, ITU and TTC.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="ANSI_TT_NP_ES">
+ <description>Translation Type, Numbering Plan and Encoding Scheme.
+
+Only valid for ANSI.
+</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="ANSI_TT_ONLY">
+ <description>Translation Type only.
+
+Only valid for ANSI.
+</description>
+ <value>6</value>
+ </enumMember>
+ </enum>
+
+ <enum name="NatureOfAddress">
+ <description>Phone number types.</description>
+ <enumMember name="UNKNOWN">
+ <description>Unknown number type.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SN">
+ <description>Subscriber number type.
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="RN">
+ <description>Reserved for national use, number type.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="NSN">
+ <description>National Significant Number type.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="IN">
+ <description>International number type.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <enum name="NumberingPlan">
+ <description>Numbering plans.</description>
+ <enumMember name="UNKNOWN">
+ <description>Unknown numbering plan.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="TELEPHONY">
+ <description>ISDN/Telephony numbering plan.
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="DATA">
+ <description>Data numbering plan.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="TELEX">
+ <description>Telex numbering plan.
+</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="MOBILE_MARITIME">
+ <description>Maritime mobile numering plan.
+</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="MOBILE_LAND">
+ <description>Land mobile numbering plan.
+</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="MOBILE">
+ <description>ISDN/Mobile numbering plan.</description>
+ <value>6</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SccpCountType">
+ <enumMember name="MESSAGES">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="OCTETS">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Scmg">
+ <structMember name="sendSST">
+ <description>Controls when to send SST (SCCP Subsystem Test message).
+
+0 = Wait for tStatInfo timer to expire once after MTP_RESUME before sending SST.
+1 = Send SST immediately after MTP_RESUME, then start tStatInfo timer.</description>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="sendSSA">
+ <description>Controls when to send SSA (SCCP Subsystem Allowed message).
+
+0 = Do not send SSA when client attaches, wait until SST is received. (default for ANSI/CHINA/ITU/TTC)
+1 = Send SSA immediately when client attaches.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="sendSSP">
+ <description>Controls whether to send SSP (SCCP Subsystem Prohibited message).
+
+0 = Do not send SSP when client detaches. (default for TTC)
+1 = Send SSP immediately when client detaches. (default for ANSI/CHINA/ITU)</description>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="useSST">
+ <description>Controls whether to send SST messages or not (SCCP Subsystem Test message).
+
+0 = Send SST as indicated by sendSST. (default for ANSI/CHINA/ITU)
+1 = (not used)
+2 = (not used)
+3 = Do not initiate SST messages at all, ie. do not start timer tStatInfo nor send any SST at MTP_RESUME. (default for TTC)</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="allowRemoteBroadcast">
+ <description>Controls the remote broadcast.
+
+0 = allow remote broadcast. (default for ANSI/CHINA/ITU/TTC)
+1 = do not allow remote broadcast</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="initiateTimerTcon">
+ <description>Controls whether to initiate hardcoded congestion timer or not.
+
+0 = start timer when SSC is received. (default for CHINA/ITU/TTC)
+1 = do not start timer
+
+Note! This attribute is not valid for the ANSI standard.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="DiscardReturnServiceMsg">
+ <enumMember name="DISCARD">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="RETURN">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <exception name="WrongUserTypeException">
+ </exception>
+
+ <exception name="UsageNotPermittedException">
+ </exception>
+
+ <enum name="J1Type">
+ <description>J1Type can have the following values:
+J1_G = 0 according to TTC JT-G703/G704
+J1_I = 1 according to TCC JT-I431</description>
+ <enumMember name="j1_G">
+ <description>J1 according to TTC JT-G703/G704</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="j1_I">
+ <description>J1 according to TCC JT-I431</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="StandardMode">
+ <description>Indicates what standard the MAO is operating to.</description>
+ <enumMember name="TTC">
+ <description>The standard mode is Telecommunication Technology Committee (TTC).</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ANSI">
+ <description>The standard mode ANSI.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ETSI">
+ <description>The standard mode is ETSI.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="MuxMode">
+ <description>Indicates what multiplexing is being used.</description>
+ <enumMember name="STANDBY">
+ <description>Standby for protection.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="E1_J1_T1_LEVEL">
+ <description>Multiplexing terminated at the E1/J1/T1 level.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="VC4_STS3CSPE_LEVEL">
+ <description>Multiplexing terminated at the VC-4/STS3CSPE level.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Os155LoopBack">
+ <description>The loopback method available for use by the Os155SpiTtp MO.</description>
+ <enumMember name="NONE">
+ <description>No loopback in use.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LINE">
+ <description>Loopingback using line.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="SwitchModes">
+ <description>The switch modes.</description>
+ <enumMember name="AUTOMATIC">
+ <description>Automatic switching.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LOCKED">
+ <description>Locked for swtiching.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <exception name="FailedResourceException">
+ </exception>
+
+ <exception name="LockedResourceException">
+ </exception>
+
+ <exception name="UnknownReasonException">
+ </exception>
+
+ <exception name="AlreadyLockedException">
+ </exception>
+
+ <exception name="IncorrectOsi155SpiIdException">
+ </exception>
+
+ <enum name="PathTraceFormat">
+ <description>Path Trace Formats.</description>
+ <enumMember name="ITU_T_G707">
+ <description>16 byte path trace format according to ITU-T G707 table 4.</description>
+ <value>16</value>
+ </enumMember>
+ <enumMember name="GR_253_CORE">
+ <description>64 byte path trace format according to section 3.3.2.3, Telecordia GR-253-CORE.</description>
+ <value>64</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Crc4Mode">
+ <description>Cyclical Redundancy Checks 4 modes.</description>
+ <enumMember name="OFF">
+ <description>CRC 4 is off.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ON">
+ <description>CRC 4 is on.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="AUTOMATIC">
+ <description>CRC 4 is automatic.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="IdlePattern">
+ <description>Idle patterns.</description>
+ <enumMember name="A_LAW">
+ <description>A law idle pattern.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="U_LAW">
+ <description>Micro law idle pattern.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="UNDEFINED">
+ <description>Undefined idle pattern.</description>
+ <value>0</value>
+ </enumMember>
+ </enum>
+
+ <enum name="LoopbackState">
+ <description>The possible loopback states for E1/J1/T1/E3/T3PhysPathTerm MOs.</description>
+ <enumMember name="none">
+ <description>This is the normal state, no loop back is preformed (and ordinary traffic is running).</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="line">
+ <description>The phyiscal path is looped on the line side, the external incoming path is looped back to the external outgoing path.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="system">
+ <description>The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="TransmissionMode">
+ <description>L stands for long haul interface and S stands for short haul interface.
+The subsequent figure specifies the cable distance in feets.</description>
+ <enumMember name="L_6000">
+ <description>Long Haul 6000 ft, -0 dB</description>
+ <value>8</value>
+ </enumMember>
+ <enumMember name="L_4000">
+ <description>Long Haul 4000 ft, -7 dB</description>
+ <value>7</value>
+ </enumMember>
+ <enumMember name="L_2000">
+ <description>Long Haul 2000 ft, -15 dB</description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="L_0">
+ <description>Long Haul 0 ft, -22 dB</description>
+ <value>5</value>
+ </enumMember>
+ <enumMember name="S_133">
+ <description>Short Haul 0..133 ft</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="S_266">
+ <description>Short Haul 134..266 ft</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="S_399">
+ <description>Short Haul 267..399 ft</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="S_533">
+ <description>Short Haul 400..533 ft</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="S_655">
+ <description>Short Haul 534..655 ft</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpItuPriorityData">
+ <description>Priority attributes for MTP3b SP of the ITU standard.</description>
+ <structMember name="prioSlt">
+ <description>The prioSlt attribute sets the priority for the
+ Signalling Link Test Message and Acknowledge (SLTM / SLTA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTra">
+ <description>The prioTra attribute sets the priority for the
+Traffic Restart Allowed signal (TRA) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioUpu">
+ <description>The prioUpu attribute sets the priority for the
+ User Part Unavailable (UPU) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioLink">
+ <description>The prioLink attribute sets the priority for link related messages when issued by the MTP3b itself. The messages are :
+
+Link INhibit (LIN), Link UNinhibit (LUN),
+Link Inhibit Denied (LID),
+Link Inhibit Acknowledge (LIA),
+Link Uninhibit Acknowledge (LUA),
+Link Forced Uninhibit (LFU),
+Link Local inhibit Test (LLT) and
+Link Remote inhibit Test (LRT)
+
+When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpItuTimerData">
+ <description>ITU timers for MTP3bSpItu. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Timer for waiting for CBA, second attempt
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT12">
+ <description>Waiting for uninhibit acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT13">
+ <description>Timer for waiting for force uninhibit.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT14">
+ <description>Timer for waiting for inhibition acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT18">
+ <description>Supervision timer for the first phase of a restarting STP. This is an STP specific parameter, which is ignored when configured as an endpoint.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).
+</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>550</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT19">
+ <description>Controlling the response of the receipt of a traffic restart message from an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>680</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT20">
+ <description>Overall MTP restart timer at the restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT21">
+ <description>Overall MTP restart timer at an adjacent signalling point to a restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>640</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT22">
+ <description>Local inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT23">
+ <description>Remote inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSlta">
+ <description>Supervision timer for SLTA message (time within SLTA should arrive).
+
+Timer T1 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSltm">
+ <description>Timer for sending SLTM periodically.
+
+Timer T2 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTack">
+ <description>Timer used for message acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaPeriodicAudit">
+ <description>Timer used for audit procedure.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT1">
+ <description>Delay to avoid mis-sequencing of messages when an association fails.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT3">
+ <description>Delay to avoid mis-sequencing of messages when an association becomes active.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT6">
+ <description>Delay to avoid mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT8">
+ <description>Delay to prohibit sending of DUNA messages via the response method.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT40">
+ <description>Delay to avoid message mis-sequencing on controlled/forced rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT41">
+ <description>Timer controlloing the traffic activation delay during restart phase.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT42">
+ <description>The forever interval period for trying association after an unsiccessfull trial.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTc">
+ <description>Timer controlling the period a route set is considered congested when a SCON message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTassocack">
+ <description>Timer used for SCTP_ASSOCIATE_REQ message acknowledgement. This is the maximum time to wait for the SCTP_COMMUP_IND mesage before considering it to be an error.
+It should be longer than the time a message spends to reach the most distant destination, be processed and returned.</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="CongestLevel">
+ <description>The supported congestion levels.</description>
+ <enumMember name="level_0">
+ <description>No Congestion.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="level_1">
+ <description>Congestion level low.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="level_2">
+ <description>Congestion level medium.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="level_3">
+ <description>Congestion level high.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <exception name="ActivationFailedException">
+ </exception>
+
+ <exception name="NoAvailRouteException">
+ </exception>
+
+ <enum name="Mtp3bCongestLevel">
+ <enumMember name="notCongested">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="congested">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bNetworkInd">
+ <description>Indicates which type of geographical network, e.g. national, international, etc..
+
+Note: Not applicable for TTC.</description>
+ <enumMember name="INTERNATIONAL_NETWORK">
+ <description>International Network.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SPARE">
+ <description>Spare (for international use only).</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="NATIONAL_NETWORK">
+ <description>National network.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="RESERVED_FOR_NATIONAL_USE">
+ <description>Reserved for national use.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bTfrHandling">
+ <description>Indicates how a TransFer Restricted (TFR) signal is handled.</description>
+ <enumMember name="HANDLE_AS_TFA">
+ <description>Treat the TransFer Restricted (FTR) signal as a TransFer Allowed (TFA) signal.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="IGNORED">
+ <description>Ignore the TransFer Restricted (TFR) signal.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="HANDLE_BY_STANDARD">
+ <description>Handle the incoming TRF messages according to the standard.</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bSioSpareBitsUse">
+ <description>Indicates whether the two spare bits in the Service Information Octet (SIO) should be treated as spare or for indicating a priority level. </description>
+ <enumMember name="SPARE">
+ <description>The two spare bits in the SIO are treated as spare.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="PRIO">
+ <description>The two spare bits in the SIO are to be used for indicating a priority level.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bItuVersion">
+ <description>Indicates which version of the ITU standard.</description>
+ <enumMember name="ITU_T_88">
+ <description>ITU-T -88.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ITU_T_03_93">
+ <description>ITU-T 03/93.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="ITU_T_07_96">
+ <description>ITU-T 07/96</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpAnsiTimerData">
+ <description>ANSI timers for MTP3bSpAnsi. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Timer for waiting for CBA, second attempt
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT12">
+ <description>Waiting for uninhibit acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT13">
+ <description>Timer for waiting for force uninhibit.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT14">
+ <description>Timer for waiting for inhibition acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT30">
+ <description>Timer to limit sending of TFPs and TFRs in response to unexpected TRA and TRW. This timer is specific for STP functionality and is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT25">
+ <description>Delay extension timer invoked on reciept of a Traffic Restart Waiting message from an adjacent SP which is restarting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT26">
+ <description>Controlling the periodic resend of Traffic Restart Waiting messages during restart of the local node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>120</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT27">
+ <description>Timer enforcing a minimum node unavailablility period during MTP restart.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT28">
+ <description>Delay timer controlling a timed reaction of the restart of an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT29">
+ <description>Timer controlling the response of the receipt of Traffic Restart messages from an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT20">
+ <description>Controlling the periodic resend of Local Inhibit Change Message.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT21">
+ <description>Controlling the periodic resend of Remote Inhibit Test Message.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT22">
+ <description>Delay on commencement of MTP Restart to allow the node to rebuild an accurate routing table based on messages for adjacent nodes.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT23">
+ <description>Supervision timer for routing updates on MTP Restart.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>40</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT31">
+ <description>Timer controlling the detection of false link congestion.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSltm">
+ <description>Timer for sending SLTM periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSlta">
+ <description>Supervision timer for SLTA message (time within SLTA should arrive).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTack">
+ <description>Timer used for message acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaPeriodicAudit">
+ <description>Timer used for audit procedure.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT1">
+ <description>Delay to avoid mis-sequencing of messages when an association fails.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT3">
+ <description>Delay to avoid mis-sequencing of messages when an association becomes active.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT6">
+ <description>Delay to avoid mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT8">
+ <description>Delay to prohibit sending of DUNA messages via the response method.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT40">
+ <description>Delay to avoid message mis-sequencing on controlled/forced rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT41">
+ <description>Timer controlloing the traffic activation delay during restart phase.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT42">
+ <description>The forever interval period for trying association after an unsiccessfull trial.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTc">
+ <description>Timer controlling the period a route set is considered congested when a SCON message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTassocack">
+ <description>Timer used for SCTP_ASSOCIATE_REQ message acknowledgement. This is the maximum time to wait for the SCTP_COMMUP_IND mesage before considering it to be an error.
+It should be longer than the time a message spends to reach the most distant destination, be processed and returned.</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpTtcTimerData">
+ <description>TTC timers for MTP3bSpTtc. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+The value for this timer should be less than the value for timerT2.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSrt">
+ <description>Timer pending a Signalling Route Test Acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpAnsiPriorityData">
+ <description>Priority attributes for MTP3b SP of the ANSI standard.</description>
+ <structMember name="prioSlt">
+ <description>The prioSlt attribute sets the priority for the
+ Signalling Link Test Message and Acknowledge (SLTM / SLTA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTra">
+ <description>The prioTra attribute sets the priority for the
+Traffic Restart Allowed signal (TRA) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioUpu">
+ <description>The prioUpu attribute sets the priority for the
+ User Part Unavailable (UPU) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioLink">
+ <description>The prioLink attribute sets the priority for link related messages when issued by the MTP3b itself. The messages are :
+
+Link INhibit (LIN), Link UNinhibit (LUN),
+Link Inhibit Denied (LID),
+Link Inhibit Acknowledge (LIA),
+Link Uninhibit Acknowledge (LUA),
+Link Forced Uninhibit (LFU),
+Link Local inhibit Test (LLT) and
+Link Remote inhibit Test (LRT)
+
+When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <struct name="Mtp3bSpTtcPriorityData">
+ <description>Priority attributes for MTP3b SP of the TTC standard.</description>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+.</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTfa">
+ <description>The prioTfa attribute sets the priority for the Transfer Allowed, TFA, messages.
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRt">
+ <description>The priority indicator used for indicating message priority for signalling route test messages (SRT/SRA).
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTfc">
+ <description>The priority indicator used for indicating the message prioirity for TFC.
+</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <enum name="Mtp3bSpPriorityLevel">
+ <description>Indicates the priority level for MTP 3.</description>
+ <enumMember name="LOWEST">
+ <description>Lowest priority setting.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LOW">
+ <description>Low priority setting.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="HIGH">
+ <description>High priority setting.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="HIGHEST">
+ <description>Highest priority setting.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpChinaTimerData">
+ <description>China timers for MTP3bSpChina. Timervalues in 1/10 s (deciseconds).</description>
+ <structMember name="timerSlta">
+ <description>Supervision timer for SLTA message (time within SLTA should arrive).
+
+Timer T1 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerSltm">
+ <description>Timer for sending SLTM periodically.
+
+Timer T2 in ITU Q.707 standard.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT1">
+ <description>Delay to avoid message mis-sequencing on changeover.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT10">
+ <description>Timer for waiting to repeat sending of RST periodically.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>400</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT12">
+ <description>Waiting for uninhibit acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT13">
+ <description>Timer for waiting for force uninhibit.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT14">
+ <description>Timer for waiting for inhibition acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT17">
+ <description>Delay to avoid oscillation of initial alignment failure and link restart.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT18">
+ <description>Supervision timer for the first phase of a restarting STP. This is an STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).
+</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT19">
+ <description>Controlling the response of the receipt of a traffic restart message from an adjacent node.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>40</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT2">
+ <description>Timer for waiting for a COO or a COA.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT20">
+ <description>Overall MTP restart timer at the restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>40</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT21">
+ <description>Overall MTP restart timer at an adjacent signalling point to a restarting MTP.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT22">
+ <description>Local inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT23">
+ <description>Remote inhibit test timer.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2500</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT3">
+ <description>Delay to avoid message mis-sequencing on a time controlled diversion change back.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT4">
+ <description>Timer for waiting for CBA, first attempt.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT5">
+ <description>Timer for waiting for CBA, second attempt
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT6">
+ <description>Timer for delay to avoid message mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>9</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT8">
+ <description>Timer for delay to prohibit sending of TFP messages via the response method. This is a STP specific parameter, which is ignored when configured as an endpoint.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTBsnt">
+ <description>Waiting for BSNT value from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTc">
+ <description>Controlling the period a route set is considered congested when a Transfer Controlled message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTRetrieval">
+ <description>Timer for waiting for completion of buffer retrieval from MTP-2 or SAAL (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTStart">
+ <description>Timer for retransmission of DL_START_req if no answer received. Started when timerT17 expires. (not standard timer).
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>1200</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTack">
+ <description>Timer used for message acknowledgement.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaPeriodicAudit">
+ <description>Timer used for audit procedure.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT1">
+ <description>Delay to avoid mis-sequencing of messages when an association fails.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT3">
+ <description>Delay to avoid mis-sequencing of messages when an association becomes active.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT6">
+ <description>Delay to avoid mis-sequencing on controlled rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT8">
+ <description>Delay to prohibit sending of DUNA messages via the response method.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT40">
+ <description>Delay to avoid message mis-sequencing on controlled/forced rerouting.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT41">
+ <description>Timer controlloing the traffic activation delay during restart phase.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaT42">
+ <description>The forever interval period for trying association after an unsiccessfull trial.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTc">
+ <description>Timer controlling the period a route set is considered congested when a SCON message has been received.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT15">
+ <description>Timer waiting to start signaling route set congestiontest. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test".
+
+Guiding value 20 - 30.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerT16">
+ <description>Timer waiting for route set congestion status update. Used only if the Route Set
+Congestion Test Selectoption is set to "Use Route Set Congestion test"
+
+Guiding value 14 - 22.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>14</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerTDlack">
+ <description>Timer pending an acknowledge from the network layer for a DL_ACK_REQ.
+
+Unit: deciseconds (1/10 second).</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="timerM3uaTassocack">
+ <description>Timer used for SCTP_ASSOCIATE_REQ message acknowledgement. This is the maximum time to wait for the SCTP_COMMUP_IND mesage before considering it to be an error.
+It should be longer than the time a message spends to reach the most distant destination, be processed and returned.</description>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>20</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <enum name="Mtp3bNetworkIndTtc">
+ <description>Indicates which type of geographical network, e.g. national, international, etc..
+
+Note: Only for TTC.</description>
+ <enumMember name="NATIONAL_NETWORK">
+ <description>National network.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SPARE">
+ <description>Spare (for international use only).</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="INTERNATIONAL_NETWORK">
+ <description>International Network.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="SPARE_1">
+ <description>Spare.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bUsageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).</description>
+ <enumMember name="IDLE">
+ <description>idle, the Mtp3bSl carries no traffic.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="ACTIVE">
+ <description>active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="BUSY">
+ <description>busy, the Mtp3bSl is working but is congested (traffic is routed on other links).</description>
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Mtp3bProceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized</description>
+ <enumMember name="NOT_INITIALIZED">
+ <description>0 - not initialized.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="INITIALIZED">
+ <description>1 - initialized.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="NodeBehaviourType">
+ <description>The nodeBehaviorType attribute represents the MTP3b node type.</description>
+ <enumMember name="SEP">
+ <description>Signaling End Point.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="SEP_STP">
+ <description>Signalling Transfer and End Point, i.e the signalling point is of both type Signalling End Point (SEP) and Signalling Transfer Point (STP).</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="SGW_STP_SEP">
+ <description>Signaling GateWay, Signaling End Point and Signalling Transfer Point, i.e the signalling point is of both type Signalling Transfer Point (STP), Signaling End Point (SEP) and Signaling GateWay (SGW).</description>
+ <value>5</value>
+ </enumMember>
+ </enum>
+
+ <struct name="Mtp3bSpChinaPriorityData">
+ <description>Priority attributes for MTP3b SP of the China standard.</description>
+ <structMember name="prioSlt">
+ <description>The prioSlt attribute sets the priority for the
+ Signalling Link Test Message and Acknowledge (SLTM / SLTA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCo">
+ <description>The prioCo attribute sets the priority for the ChangeOver Order and Acknowledge (COO/COA) or eXtended Change over Order and Acknowledge (XCO/XCA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet)
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioCb">
+ <description>The prioCb attribute sets the priority for the
+ Change Back Declaration and Acknowledge (CBD / CBA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioEc">
+ <description>The prioEc attribute sets the priority for the
+Emergency Change over Order and Acknowledge (ECO / ECA) messages when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioRst">
+ <description>The prioRst attribute sets the priority for the
+signalling Route Set Test (RST) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioTra">
+ <description>The prioTra attribute sets the priority for the
+Traffic Restart Allowed signal (TRA) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioUpu">
+ <description>The prioUpu attribute sets the priority for the
+ User Part Unavailable (UPU) message when issued by the MTP3b itself. When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ <structMember name="prioLink">
+ <description>The prioLink attribute sets the priority for link related messages when issued by the MTP3b itself. The messages are :
+
+Link INhibit (LIN), Link UNinhibit (LUN),
+Link Inhibit Denied (LID),
+Link Inhibit Acknowledge (LIA),
+Link Uninhibit Acknowledge (LUA),
+Link Forced Uninhibit (LFU),
+Link Local inhibit Test (LLT) and
+Link Remote inhibit Test (LRT)
+
+When a message is transferred from the MTP3b the message priority uses the two spare bits in the SIO (Service Information Octet).
+
+lowest:0
+low:1
+high:2
+highest:3</description>
+ <enumRef name="Mtp3bSpPriorityLevel">
+ <defaultValue>HIGHEST</defaultValue>
+ </enumRef>
+ </structMember>
+ </struct>
+
+ <enum name="Mtp3bRouteType">
+ <description>The type of Signalling Route.</description>
+ <enumMember name="TDM_ATM">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="IP">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="HomingState">
+ <enumMember name="SINGLE_HOMED_1">
+ <description>Single-homed with ipAddress1.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SINGLE_HOMED_2">
+ <description>Single-homed with ipAddress2.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="MULTI_HOMED">
+ <description>Multihomed association with ipAddress1 and
+ipAddress2
+</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RouteState">
+ <description>The state of the signaling route.</description>
+ <enumMember name="NOT_BLOCKED">
+ <description>The signaling route is not blocked.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="BLOCKED">
+ <description>The signaling route is blocked.</description>
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="WorkingMode">
+ <enumMember name="hostMode">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="routerMode">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="OspfMetricType">
+ <description>Open Shortest Path First metric type.</description>
+ <enumMember name="comparableCost">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="nonComparable">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="RouteType">
+ <description>The types of the routes found in the IP routing table.</description>
+ <enumMember name="INET_RTPROTO_OTHER">
+ <description>The source of information is unknown.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTO_LOCAL">
+ <description>Route created from local configuration data (both static and interface routes).
+Each interface created gets an entry in the routing table of the RO.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTO_NETMGMT">
+ <description>Route added by SNMP.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTO_OSPF">
+ <description>Route added by OSPF.</description>
+ <value>13</value>
+ </enumMember>
+ <enumMember name="INET_RTPROTR_ICMP">
+ <description>Route added by ICMP redirect message.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <exception name="RouteNotFoundException">
+ <description>Invalid IP route has been given as a parameter to an action.</description>
+ <exceptionParameter name="routeNotFound">
+ <description>Exception message.</description>
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <exception name="InvalidRouteException">
+ <description>Invalid IP route has been given as a parameter to an action.</description>
+ <exceptionParameter name="invalidRoute">
+ <dataType>
+ <string/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+ <enum name="MauDuplexMode">
+ <enumMember name="HALF_DUPLEX">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="FULL_DUPLEX">
+ <value>1</value>
+ </enumMember>
+ </enum>
+
+ <enum name="ControllerMode">
+ <description>The controller mode of a physical interface.</description>
+ <enumMember name="CONTROLLER_E1">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="CONTROLLER_T1">
+ <value>2</value>
+ </enumMember>
+ <enumMember name="CONTROLLER_J1">
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <struct name="OspfAreaRange">
+ <description>ipAddress - the subnet address of the range.
+subnetMask - IP subnet mask of the range to be added.
+advertise - indicates whether the area range should be advertised into OSPF.
+</description>
+ <structMember name="ipAddress">
+ <string/>
+ </structMember>
+ <structMember name="subnetMask">
+ <description>Note! The subnet has to be contigious. That is, has the LSB set to 0 (zero).
+
+Example:
+
+255.255.255.X
+
+Contiguous subnet mask:
+11111111.11111111.11111111.11111110 (X = 254)
+11111111.11111111.11111111.11111100 (X = 252)
+
+Not-contiguous subnet mask:
+11111111.11111111.11111111.11111010 (X = 250)
+</description>
+ <string/>
+ </structMember>
+ <structMember name="advertise">
+ <boolean/>
+ </structMember>
+ </struct>
+
+ <struct name="RoutingTableEntry">
+ <description>IP routing table entry.</description>
+ <structMember name="destinationIpAddr">
+ <description>The destination IP address.</description>
+ <string/>
+ </structMember>
+ <structMember name="destinationNetworkMask">
+ <description>The destination IP network mask.</description>
+ <string/>
+ </structMember>
+ <structMember name="nextHopIpAddr">
+ <description>The next hop IP address.</description>
+ <string/>
+ </structMember>
+ <structMember name="routeMetric">
+ <description>The route metric.</description>
+ <long/>
+ </structMember>
+ <structMember name="routeType">
+ <description>The route type.</description>
+ <enumRef name="RouteType">
+ </enumRef>
+ </structMember>
+ <structMember name="interfaceName">
+ <description>The interface name.</description>
+ <string/>
+ </structMember>
+ <structMember name="redistribute">
+ <description>Indicates if the route should be redistributed.</description>
+ <boolean/>
+ </structMember>
+ <structMember name="active">
+ <description>Indicates if the route is active.</description>
+ <boolean/>
+ </structMember>
+ </struct>
+
+ <enum name="AutoConfigurationMode">
+ <description>Denotes whether the autoConfiguration mode for the IpAccessHost is turned on or off.</description>
+ <enumMember name="ON">
+ <value>1</value>
+ </enumMember>
+ <enumMember name="OFF">
+ <value>2</value>
+ </enumMember>
+ </enum>
+
+ <enum name="Aal5TpSelectionModes">
+ <description>In case 'NO_PREFERENCE', 'LOCAL_MP_SELECT_VCC_AT_LINK_UP', LOCAL_MP_PEER_SELECTION_VCC' or 'LOCAL_MP_ACTIVE_RESELECTION' is selected the IpAtmLink MO will prefer Aal5TpVccTp on local MP (i.e. Aal5TpVccTp executing on the same MP as the IpAtmLink), but other Aal5TpVccTp will be accepted if needed.
+
+In case 'ONLY_LOCAL_MP' is selected, the ipAtmLink (Inet Link) will consider Aal5TpVccTp residing on other MPs as a malfunction and discard the usage of them. No InvArp sending will be performed on them and all incomming trafic on them will be discarded. If no local Aal5TpVccTp exists the operational state of the link will be changed to disabled.</description>
+ <enumMember name="NO_PREFERENCE">
+ <value>0</value>
+ </enumMember>
+ <enumMember name="LOCAL_MP_SELECT_VCC_AT_LINK_UP">
+ <description>Prefer termination on local MP, select Vcc when link goes up.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="LOCAL_MP_PEER_SELECTION_VCC">
+ <description>Prefer termination on local MP, follow peer selection of Vcc.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="LOCAL_MP_ACTIVE_RESELECTION">
+ <description>Prefer termination on local MP, active reselection to new Aal5TpVccTp as soon as a better choice exists.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ONLY_LOCAL_MP">
+ <description>Restricted to local MP, follow peer selection if termination is on local MP.
+</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AlarmReport">
+ <description>Alarm report for the Virtual path connection termination point.
+RDI - Remote defect indication
+LOC - Loss of continuity
+AIS - Alarm indication signal</description>
+ <enumMember name="ALARM_LOC">
+ <description>For alarms of type Loss of continuity.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="ALARM_LOC_RDI">
+ <description>For alarms of type Loss of continuity and Remote defect indication.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="ALARM_AIS_LOC">
+ <description>For alarms of type Alarm indication signal and Loss of continuity.</description>
+ <value>6</value>
+ </enumMember>
+ <enumMember name="ALARM_AIS_RDI_LOC">
+ <description>For alarms of type Alarm indication signal, Remote defect indication and Loss of continuity.</description>
+ <value>7</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AtmCounterMode">
+ <enumMember name="PM_MODE_OFF">
+ <description>Performance monitoring is turned off. PM counters give irrelevant values.</description>
+ <value>0</value>
+ </enumMember>
+ <enumMember name="PM_MODE_ONLYCOUNT">
+ <description>Performance monitoring counters are active
+</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="PM_MODE_FPM">
+ <description>FPM, Forward Performance Monitoring activated.
+
+Performance monitoring counters are active
+</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="PM_MODE_BR">
+ <description>Backward reporting, BR, cells are generated.
+
+Performance monitoring counters are active
+</description>
+ <value>4</value>
+ </enumMember>
+ <enumMember name="PM_MODE_FPM_BR">
+ <description>FPM, Forward Performance Monitoring activated.
+
+Backward reporting, BR, cells are generated.
+
+Performance monitoring counters are active
+</description>
+ <value>6</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AtmNomPmBlkSize">
+ <description>Nominal performance monitoring, PM, blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <enumMember name="NOM_PM_BLKSIZE_2_7">
+ <description>Nominal performance monitoring, PM, block size, 2e7 (2 to the power of 7).</description>
+ <value>128</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_8">
+ <description>Nominal performance monitoring, PM, block size, 2e8 (2 to the power of 8).</description>
+ <value>256</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_9">
+ <description>Nominal performance monitoring, PM, block size, 2e9 (2 to the power of 9).</description>
+ <value>512</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_10">
+ <description>Nominal performance monitoring, PM, block size, 2e10 (2 to the power of 10).</description>
+ <value>1024</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_11">
+ <description>Nominal performance monitoring, PM, block size, 2e11 (2 to the power of 11).</description>
+ <value>2048</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_12">
+ <description>Nominal performance monitoring, PM, block size, 2e12 (2 to the power of 12).</description>
+ <value>4096</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_13">
+ <description>Nominal performance monitoring, PM, block size, 2e13 (2 to the power of 13).</description>
+ <value>8192</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_14">
+ <description>Nominal performance monitoring, PM, block size, 2e14 (2 to the power of 14).</description>
+ <value>16384</value>
+ </enumMember>
+ <enumMember name="NOM_PM_BLKSIZE_2_15">
+ <description>Nominal performance monitoring, PM, block size, 2e15 (2 to the power of 15).</description>
+ <value>32768</value>
+ </enumMember>
+ </enum>
+
+ <enum name="ServiceCategory">
+ <enumMember name="SERVICE_CATEGORY_CBR">
+ <description>Service category Constant Bit rate, CBR.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="SERVICE_CATEGORY_UBR">
+ <description>Service category Unspecified Bit rate, UBR.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="SERVICE_CATEGORY_UBR_PLUS">
+ <description>Service category Unspecified Bit rate+, UBR+. UBR+ guarantees a certain bandwidth. If higher bandwidth is used it will be handled as UBR.</description>
+ <value>3</value>
+ </enumMember>
+ </enum>
+
+ <enum name="AtmQos">
+ <description>ATM's Quality of Service classes.
+
+The ATM layer system provides four QoS classes, numbered 1 to 4, where CLASS_ONE gives the best QoS in regards to Cell Loss Ratio &lt;CLR&gt; and Cell Delay Variation &lt;CDV&gt; and CLASS_FOUR doesn't give any QoS guarantees. CLASS_ONE and CLASS_TWO are the only classes applicable for real time traffic.</description>
+ <enumMember name="CLASS_ONE">
+ <description>CDV &lt; 1 ms (milliseconds), CLR &lt; 10e-8. Typically used by timing unit.</description>
+ <value>1</value>
+ </enumMember>
+ <enumMember name="CLASS_TWO">
+ <description>CDV &lt; 1 ms, CLR &lt; 10e-7. Typically used for speech.</description>
+ <value>2</value>
+ </enumMember>
+ <enumMember name="CLASS_THREE">
+ <description>CLR &lt; 10e-5. Typically used for signalling.</description>
+ <value>3</value>
+ </enumMember>
+ <enumMember name="CLASS_FOUR">
+ <description>Typically used for IP.</description>
+ <value>4</value>
+ </enumMember>
+ </enum>
+
+ <struct name="ValueOfUnex">
+ <description>The attributes in this struct represents the ATM header, (vpi, vci, pti), of the last recieved unexpected cell.</description>
+ <structMember name="vpi">
+ <description>The ATM header vpi.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="vci">
+ <description>The ATM header vci.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ <structMember name="pti">
+ <description>The ATM header pti.</description>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </structMember>
+ </struct>
+
+ <class name="TransportNetwork">
+ <description>This MO structures the transport network of the managed element. It is created automatically and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, TransportNetwork=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="TransportNetworkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="getAal5ForNniSaal">
+ <description>This action returns a sequnce of Aal5TpVccTp MOs that is unreserved and executes on an MP.
+
+Also the following condition has to be fullfilled:
+
+MinAal5Sdu = min (fromUserMaxSduSize, toUserMaxSduSize)
+
+roundedDownSdu = (MinAal5Sdu - (MinAal5Sdu modulo 4) +4)
+
+roundedDownSdu &gt; low boundry for maxSduSize (in NniSaalTp)
+
+Note that the values for fromUserMaxSduSize and toUserMaxSduSize is the actual values set in the Aal5TpVccTp MO.
+Also note that the attributes minAal5Sdu and roundedDownSdu is used internaly in this action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ <action name="getAal5ForUniSaal">
+ <description>This action returns a sequnce of Aal5TpVccTp MOs that is unreserved and executes on an MP.
+
+Also the following condition has to be fullfilled:
+
+MinAal5Sdu = min (fromUserMaxSduSize, toUserMaxSduSize)
+
+roundedDownSdu = (MinAal5Sdu - (MinAal5Sdu modulo 4) +4)
+
+roundedDownSdu &gt; low boundry for maxSduSize (in UniSaalTp)
+
+Note that the values for fromUserMaxSduSize and toUserMaxSduSize is the actual values set in the Aal5TpVccTp MO.
+Also note that the attributes minAal5Sdu and roundedDownSdu is used internaly in this action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ <action name="getAal2PathForAal2Pdu">
+ <description>This action returns a sequence of all unreserved Aal2PathVccTp's that have an Aal2PathId that has not been
+used by another Aal2PathDistributionUnit under the same parent (Aal2Ap).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ <parameter name="aal2Ap">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="getRpuForAal2Pdu">
+ <description>This action returns a sequence of all ReliableProgramUniters that has not reached the maximun number of possible reservations
+and has not already been used by other Aal2PathDistributionUnit MO's (if exists) under the same parent (Aal2Ap MO).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ <parameter name="aal2Ap">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="getUnreservedPluginUnits">
+ <description>This action returns a sequence of PlugInUnits that is unreserved.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ <action name="getDs0BundlesForMtp2">
+ <description>This action returns a sequence of Ds0Bundle MOs that is unreserved and only has one (1) timeSlot set in the listOfTimeSlots.
+The attribute tdmMode in these Ds0Bundles must be enabled.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="Slot">
+ <description>The Slot MO is used to represent a slot in a subrack. A slot can hold a plug-in-unit, a board, (represented by PlugInUnit MOs).
+
+The number of slots in a subrack is specified when defining the subrack.
+
+The system will automatically create the right number of Slot MOs in the subrack at creation of the Subrack MO.
+This MO cannot be deleted by an operator.
+
+The local distinguished names of this MO's are select with identity Slot=1 in the leftmost slot with incremental numbering towards the right in the subrack.. </description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>A user friendly name associated to the managed object
+
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="slotState">
+ <description>Gives the state of the slot.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="SlotState">
+ <defaultValue>free</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="slotNumber">
+ <description>The number of the position from the left side, starting from 1.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long/>
+ </dataType>
+ </attribute>
+ <attribute name="SlotId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="productData">
+ <description>This product data describes the plug-in-unit that is presently inserted. The information is only available when slotState = used.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OperProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="upgradeGroupId">
+ <description>The upgradeGroupId is able to group a number of PIUs, all in the group can be upgraded at the same time.
+
+If upgradeGroupTypeName is "", this attribute is not used.
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="upgradeGroupTypeName">
+ <description>The upgradeGroupTypeName, together with the upgradeGroupId, define an upgrade group.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ManagedObject">
+ <description>The Managed Object MO is not part of the model. It is only used to have valid reference types for certain attributes. </description>
+ <attribute name="ManagedObjectId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="getType">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="getId">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="getParent">
+ <returnType>
+ <moRef name="ManagedObject"/>
+ </returnType>
+ </action>
+ <action name="getChildren">
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="getFullDistinguishedName">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="delete">
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="MoHasChildrenException"/>
+ <raisesException name="MoReservedByOtherUserException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="UndeletableMoException"/>
+ <raisesException name="TransactionRequiredException"/>
+ </action>
+ <action name="getLocalDistinguishedName">
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="create">
+ <returnType>
+ <moRef name="ManagedObject"/>
+ </returnType>
+ <raisesException name="MoNameAlreadyTakenException"/>
+ <raisesException name="AttrMissingAtCreateException"/>
+ <raisesException name="AttrNotSettableAtCreateException"/>
+ <raisesException name="IllegalParentException"/>
+ <raisesException name="MoCardinalityViolationException"/>
+ <raisesException name="NoSuchAttributeException"/>
+ <raisesException name="MoCanNotBeCreatedException"/>
+ <raisesException name="NoSuchFieldException"/>
+ <raisesException name="NotHandledAttributeTypeException"/>
+ <raisesException name="WrongAttributeTypeException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="TransactionRequiredException"/>
+ <raisesException name="IpException"/>
+ <raisesException name="IpFormatException"/>
+ <raisesException name="EquipException"/>
+ <raisesException name="AttrValueNotUniqueException"/>
+ <raisesException name="MaxNumberExceededException"/>
+ <raisesException name="MoReservedNotDeletableException"/>
+ </action>
+ </class>
+
+ <class name="VclTp">
+ <description>This MO is used to represent the termination of an external VC link in the node.
+
+Note that a maximum of 10 000 MO of this kind may be configured in a node.
+
+Note! The performance monitoring counters in the VclTp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="externalVci">
+ <description>Virtual Channel Idenitifier, VCI, value for this Virtual Channel Link, VCL.
+
+Range: 32 - 65535.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>32</min> <max>65535</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="atmTrafficDescriptorId">
+ <description>Reference to the AtmTrafficDescriptor profile.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="AtmTrafficDescriptor"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>User that have reserved this VclTp.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the VclTp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmReceivedAtmCells">
+ <description>Performance monitoring counter for number of received ATM cells.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTransmittedAtmCells">
+ <description>Performance monitoring counter for number of transmitted ATM cells.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the VclTp.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="VclTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="eteLoopBack">
+ <description>Used by VclTp to inforce a Virtual Channel end-to-end loopback.
+false=Failed, true=Succeded.
+
+Note! This action requires a transaction.
+
+</description>
+ <returnType>
+ <boolean/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="ManagedElement">
+ <description>The Managed Element MO is the top MO in the MOM. A management application will communicate with the Managed Element MO for the purpose of monitoring it and controlling it.
+
+The ManagedElement MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="site">
+ <description>This attribute gives information about geographical location (e.g. place name).</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>255</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="logicalName">
+ <description>This attribute gives a logical name of a site.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>255</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productType">
+ <description>This attribute describes the product type and is always set to the value "Node".</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>Node</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productName">
+ <description>This attribute describes the implemented functionality. </description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>12</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productNumber">
+ <description>This attribute uniquely identifies the product (e.g. ROF123).</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>24</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productRevision">
+ <description>This attribute identifies the version of the product (e.g. R2A).</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>7</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ManagedElementId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Restart the node with an unconditional reload.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="restartRank">
+ <in/>
+ <dataType>
+ <enumRef name="RestartRank">
+ </enumRef>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="Equipment">
+ <description>This Managed Object is used as a structural element for the network element, i.e. it only acts as a container for other MO:s. This MO structures the equipment of the network element.
+
+The local distinguished name of this MO is ManagedElement=1, Equipment=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="EquipmentId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Subrack">
+ <description>This MO is used to represent a subrack.
+</description>
+ <attribute name="userLabel">
+ <description>A free tag that a user can associated to the this managed object. The value has no relevance for the managed system.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="switchModule">
+ <description>A reference to a SwitchModule ManagedObject, from which the SwitchModule number can be retrived. That number describes how the subrack is connected to the node, i.e. how the subrack�s cables are connected to the hub subrack. (The hub subrack has switch module number �0�).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="SwitchModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="subrackType">
+ <description>There can only be one hub subrack in the node. The hub subrack is used to control switching and synchronization for the complete node.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="SubrackType">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="productType">
+ <description>This attribute describes the product type and is always set to the value "HW".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>"HW"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeProductData">
+ <description>This product data describes the subrack that is configured to be installed.
+
+</description>
+ <mandatory/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="subrackPosition">
+ <description>The position of this subrack within a cabinet.
+The purpose of this attribute is to help an operator locate the source of an error by letting the system put this
+information in alarms. The system does not use this information in any other way i.e. it does not affect the
+operation of the system.
+The subrack position is an integer (1-n) stating the cabinet Id, starting from the left and a letter (A-Z) stating
+the Subrack vertical position starting from the ground, e.g. 5C.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="cabinetPosition">
+ <description>The position of the cabinet (within a group of other cabinets) in which this subrack is placed.
+The purpose of this attribute is to help an operator locate the source of an error by letting the system put this information in alarms. The system does not use this information in any other way i.e. it does not affect the operation of the system.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SubrackId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="numberOfSlots">
+ <description>Defines the number of slot in the subrack. The default value is 28.
+
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>28</max>
+ </range>
+ <defaultValue>28</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalProductData">
+ <description>The operational product data describes the subrack that is actually installed.
+
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OperProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>A reference to the SwAllocation MO that has reserved this Subrack for Fan MO upgrades..
+The attribute is set/cleared by internal actions.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <action name="removeSlots">
+ <description>Removes the given number of Slot MOs from the model. The Slots with the highest slotNumber are removed. After the action no Slot will have apn = 0.
+The attribute numberOfSlots will be udated.
+
+If there is a child MO the action will fail and the MOHasChildrenException is thrown.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="numberOfSlotsToRemove">
+ <description>The number of slots to remove.</description>
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="LoadModule">
+ <description>This MO is used to represent software installed in the file system.
+
+LoadModule MO can represent files with executable code, files with text information
+(COI) or directories in Cello file system.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.
+
+Note! In order for a program to be reliable the following conditions must be fulfilled:
+
+1. The attribute 'loaderType' must be set to 'OseLoader'.
+2. The attribute 'oseProgramLoadClass' must be set to a value &gt; 100.
+3. The program must execute on a MP (Main Processor).
+
+</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productData">
+ <description>Product information data.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="loadModuleFilePath">
+ <description>The path to this load module in the file system, including the file name.
+
+Example: /c/load_modules/CXC112874R1A01
+where /c/load_modules/ is the directory and CXC112874R1A01 is the file name.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="loaderType">
+ <description>The type of the program loader.
+
+Note! This corresponds to LoaderName in the Upgrade Control File (UCF).</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="LoadModuleLoaderType">
+ <defaultValue>OseLoader</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="otherLoaderName">
+ <description>This attribute must have a value if the loaderType attribute equals other.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="preLoad">
+ <description>A recommendation to the system to (if possible) cache this load module close to where its program(s) execute(s), e.g. in a flash file system. The purpose of this attribute is to enable faster loading at restart of programs referring to this load module.
+
+
+
+
+</description>
+ <dataType>
+ <enumRef name="LoadModulePreLoadType">
+ <defaultValue>no</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="fileFormat">
+ <description>The file format (RPDOUT, html, java, fpga, ...).</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="oseProgramLoadClass">
+ <description>Specifies in which load phase programs of this load module should be started.
+
+Note: If the LoadModule is a java archive file (.jar) it will be loaded in the load phase of the java machine rather than according to its own oseProgramLoadClass.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="LoadClass">
+ <defaultValue>application</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reliableProgramLabel">
+ <description>Reliable program identity.
+Compare this to the reliableProgramLabel in ReliableProgramUniter MO.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="isDirectory">
+ <description>Indicates if the load module is a file or directory.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="oseProgramPoolSize">
+ <description>This attribute sets the size of the program pool size. In the referenced loadModule there is
+possible to set this value at create.
+This value will then be fetched by program and used at create if no value is submitted at
+create of the program Mo.
+The referenced load module has a default size defined at compile time which is used if no
+value is submitted at create of loadModule or the program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Unit is byte.
+
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="oseProgramHeapSize">
+ <description>This attribute sets the size of the program heap size. In the referenced loadModule there is possible to set this value at create. This value will then be fetched by program and used at create if no value is submitted at create of the program Mo.
+The referenced load module has a default size defined at compile time which is used if no value is submitted at create of loadModule or the program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Unit is byte.
+
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="programMustBeSingleton">
+ <description>Indicator whether the (OSE) program must be a singleton or not.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="moppletEntries">
+ <description>Contains all class that are to be initialised and started when the JVM restarts.
+Technical note: The class name must specify the full package and class name in standard Java syntax, e.g. "se.ericsson.cello.X" ; must implement the se.ericsson.cello.moframework.Mopplet interface and be found in this LoadModule.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <string>
+ <defaultValue/>
+ </string>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="LoadModuleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByUpgradePackage">
+ <description>Indicates whether this MO has been reserved by the UpgradePackage MO or not.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Synchronization">
+ <description>This MO is used to administer synchronization references for the network synchronization function and to view the system clock state of Timing Unit boards.
+
+Synchronization can have 0 to 8 relations to other MOs. The only valid MOs where relations can exist are E1Physpathterm, J1Physpathterm, T1Physpathterm, Os155SpiTtp, TU Synchronization Reference, and ManagedObject (for the non-Cello RXI820 MO) MOs. Note that maximum number of relations to these MOs is 8 all together.
+
+The synchronization MO is automatically created and cannot be deleted.
+
+Note: An external ManagedObject that wishes to be connected to the Synchronization MO must implement the following interface se.ericsson.cello.equipment.SyncReservable!
+
+The local distinguished name of this MO is ManagedElement=1,TransportNetwork=1,Synchronization=1
+
+.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="degradationIsFault">
+ <description>Controls whether synchronization reference degradation (e.g. signal level degradation) is interpreted as a synchronization reference fault or not. Attribute value is only applicable for traffic carrying synchronization references</description>
+ <dataType>
+ <enumRef name="FaultCriteria">
+ <defaultValue>degrNotFault</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="systemClockA">
+ <description>State of system clock signal on Timing Unit A.
+Note that system clock generated by TU A (TU in slot 4) has higher priority than TU B (TU in slot 5) system clock, i.e. each device board uses system clocks according to this priority order.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="ClockState">
+ <defaultValue>unknownMode</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="systemClockB">
+ <description>State of system clock signal on Timing Unit B. Note that system clock generated by TU A (TU in slot 4) has higher priority than TU B (TU in slot 5) system clock, i.e. each device board uses system clocks according to this priority order.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="ClockState">
+ <defaultValue>unknownMode</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="syncReference">
+ <description>Set of synchronization reference physical resources. An MO reference to an TU synchronization reference MO implementation.
+A synchronization reference in added to the system by using action AddSyncRefResource.
+Note: if this attributes has value "Non-existing MO reference" then all the respective attributes of type sequence of 8 are not applicable.
+
+Note: The length of this sequence is always 8.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ <length>8</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="syncRefPriority">
+ <description>Synchronization reference priority.
+1 represents the highest and 8 the lowest priority. Every synchronization reference must have unique priority value. Value 0 means not applicable, that no synchronization with this priority is defined.
+This attribute is mandatory when adding a synchronization reference to the system (by using action addSyncRefResource).
+A priority value can be changed with the changeSyncRefPriority action provided that the priority is not already assigned to some other SyncRefResource.
+
+Note: The length of this sequence is always 8.</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long>
+ <range>
+ <min>0</min> <max>8</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ <length>8</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="syncRefActivity">
+ <description>Set of synchronization reference activity attributes.
+
+Note: The length of this sequence is always 8.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <enumRef name="RefActivity">
+ <defaultValue>inactive</defaultValue>
+ </enumRef>
+ <length>8</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="syncRefStatus">
+ <description>Set of synchronization reference status attributes.
+
+Note: The length of this sequence is always 8.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <enumRef name="RefState">
+ <defaultValue>failed</defaultValue>
+ </enumRef>
+ <length>8</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SynchronizationId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="systemClockRedundancy">
+ <description>Indicates if the node is redundant from Network Synchronization functions point of view.</description>
+ <dataType>
+ <enumRef name="SystemClockRedundancy">
+ <defaultValue>SYSTEM_CLOCK_USERS_USE_PLANE_A</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="addSyncRefResource">
+ <description>This action adds one synchronization reference to the system. As a result of successful action, one set of attributes (attributes of type sequence of 8) is added to Synchronization MO.
+Parameters:
+syncReference: Managed object implementation, to which a mo-reference is to be added. See description of attribute SyncReference.
+syncPriority: Priority of synchronization reference. Each synchronization reference must have unique priority value, this must be checked by synchronization MO.
+
+Description of exceptions:
+- AlreadyRegistered: this reference is already registered
+- MaxNoOfRefRegistered: maximum number (8) of synchronization references already present
+- PriorityAlreadyInUse: syncPriority is identical with an existing synchronization reference priority
+- PriorityOutOfRange: invalid syncPriority value
+- InvalidMoReference: invalid syncReference for registration as a synchronization reference (invalid MO type)
+- NotPermitted: syncReference does not allow SyncReserve to be performed
+
+Note: An external ManagedObject that wishes to be connected to the Synchronization MO must implement the following interface se.ericsson.cello.equipment.SyncReservable!
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <parameter name="syncPriority">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="AlreadyRegisteredException"/>
+ <raisesException name="MaxNoOfRefRegisteredException"/>
+ <raisesException name="PriorityAlreadyInUseException"/>
+ <raisesException name="PriorityOutOfRangeException"/>
+ <raisesException name="InvalidMoReferenceException"/>
+ <raisesException name="NotPermittedException"/>
+ </action>
+ <action name="removeSyncRefResource">
+ <description>This action removes one synchronization reference that has previously been added.
+(In future releases, this action should include a check whether the synchronization reference to be removed is the only existing synchronization reference. In that case, the user is asked for a confirmation to remove the last synchronization reference.)
+Parameters:
+syncReference: Managed object implementation, to which a mo-reference currently exists, but is now to be removed. See description of attribute SyncReference.
+
+Description of exceptions:
+- NotRegistered: synchronization reference is not registered
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <raisesException name="NotRegisteredException"/>
+ </action>
+ <action name="changeSyncRefPriority">
+ <description>This action changes the synchronization priority of one previously registered synchronization reference resource.
+Parameters:
+syncReference: Managed object implementation, for which the synchronization priority is to be changed. See description of attribute syncReference.
+syncPriority: The new priority of synchronization reference. Each synchronization reference must have unique priority value, this must be checked by synchronization MO.
+
+Description of exceptions:
+- PriorityAlreadyInUse: syncPriority is identical with an existing synchronization reference priority
+- PriorityOutOfRange: invalid syncPriority value
+- NotRegistered: synchronization reference is not registered
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <parameter name="syncPriority">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="PriorityAlreadyInUseException"/>
+ <raisesException name="PriorityOutOfRangeException"/>
+ <raisesException name="NotRegisteredException"/>
+ </action>
+ <action name="resetLossOfTracking">
+ <description>This action resets the detected Loss of Tracking error condition of one synchronization reference. After reset the synchronization reference is usable again.
+Parameters:
+syncReference: Specifies the synchronization reference for which the Loss of Tracking status is to be reset.
+
+Description of exceptions:
+- NotRegistered: synchronization reference is not registered
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="syncReference">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <raisesException name="NotRegisteredException"/>
+ </action>
+ </class>
+
+ <class name="SccpApLocal">
+ <description>This Managed Object represents an SCCP Local Access Point. An SCCP AP instance is a logical point in the SCCP network at which an SCCP user can access the services provided by the SCCP and the SCCP can deliver its services to the SCCP user. The SCCP Access Point is identified by a SubSystem Number (SSN).
+
+A local SccpAp represents an access point in the own node.
+
+The performance monitoring counters found in SccpApLocal MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a shutdown request from the CLI (Cluster Interface).</description>
+ <attribute name="SccpApLocalId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SCCP AP. The operability of the SCCP AP is described by this attribute which has two possible values:
+
+Disabled: The SCCP AP is totally inoperable and unable to provide the service to the user.
+
+Enabled: The SCCP AP is partly or fully operable and available for use.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="ssN">
+ <description>The sub system number, SSN, of the SCCP Access Point. Note that this has to be a unique number.
+
+If the SccpAp is local then the SSN has to be unique among all other SccpAp MOs under the same SccpSp MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxConn">
+ <description>The maxConn attribute specifies the max number of connections allowed for this SCCP Access Point. It is only valid for local SCCP Access Points.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>524288</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="useS1">
+ <description>Controls adding of OPC from routing label to Calling Party Address if absent.
+
+False = Do not add OPC to Calling Part Address.
+True = Add OPC to Calling Part Address.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpSp">
+ <description>The Signalling Connection Control Part, SCCP, Signalling Point, SP, MO is the placeholder for all SCCP related managed objects.
+
+Startime for accounting is set by attributes accountDateFileOutput and accountTimeFileOutput.
+The attribute accountPeriodFileOutput sets period for the accounting record to be stored on the /c file system.
+The accounting is stopped by setting the accountPeriodFileOutput to zero (0).
+NOTE: The SRP function and SccpAccountingCriteria MO's should only be created for one signalling stack, i.e one PIU.
+
+Note! The guiding values that can be found for some attributes is to be regarded as a recommendation for these attributes.
+Depending on the size of the network, traffic load, use and so forth it might be neccesary to set values for these attributes outside the guiding values.</description>
+ <attribute name="SccpSpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SCCP SP. The operability of the SCCP SP is described by this attribute which has two possible values:
+
+Disabled: The SCCP SP is totally inoperable and unable to provide the service to the user (e.g. if the processor is not usable).
+
+Enabled: The SCCP SP is partly or fully operable and available for use.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bSpId">
+ <description>The identity of the L3 Signaling Point used by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="prioSST">
+ <description>This attribute sets the priority for the Subsystem Test message when issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+Coding of the message priority:
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioIT">
+ <description>This attribute sets the priority for the Inactivity Test message when issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+Coding of the message priority:
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioRLSD">
+ <description>This attribute sets the priority for the connection release message when issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+Coding of the message priority:
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioGeneral">
+ <description>This attribute sets the priority for all the other messages which are issued by the SCCP itself. When a message is transferred from the SCCP the message priority uses the two spare bits in the SIO.
+
+Coding of the message priority:
+
+0 Lowest priority
+1
+2
+3 Highest priority</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="accountDateFileOutput">
+ <description>Date for accounting file output.
+Format in ASCI-code: yyyymmdd
+yyyy = 0000-9999
+mm = 01-12
+dd = 01-31
+
+Note! This is the start date for the output.</description>
+ <dataType>
+ <string>
+ <defaultValue>"00000000"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="accountTimeFileOutput">
+ <description>Time for accounting file output.
+Format in ASCI-code: hhmm
+hh = 00-23
+mm = 00-59</description>
+ <dataType>
+ <string>
+ <defaultValue>"0000"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="accountPeriodFileOutput">
+ <description>Period for accounting file output.
+Format: number of half hour.
+Range = 0, 1, 2, 4, 6, 8, 12, 16, 24, 48
+
+Note! if set to 0 (zero) the output will be stopped.</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="upperConnThres">
+ <description>The upper connection threshold allow the user to specify the level at which the upper connection threshold notification is triggered. The thresholds must be given as a percentage of the maximum number of connection resources made available for the entire SCCP.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>80</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="lowerConnThres">
+ <description>The lower connection threshold allow the user to specify the level at which the lower connection threshold notification is triggered. The thresholds must be given as a percentage of the maximum number of connection resources made available for the entire SCCP. The value must be less then UpperConnThres.
+
+The maximum value is less or equal to the upper connection threshold</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="swapUDTPointer">
+ <description>There are two ways to construct a UDTS from a UDT, the XUDTS will be constructed in the same way. One way is to only swap the pointers to �Called Party Address� and �Calling Party Address� in a UDT message when constructing a UDTS. The other way is to swap data. How this is done in the SCCP is configurable. The coding and interpretation is as follows:
+0, swap data ��Called party Address� will be sent before �Calling party Address�
+1, swap pointers��Called party Address� will be sent after �Calling party Address�.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hopCounterSclc">
+ <description>The SCLC hop counter is a parameter that is decremented for each performed GT translation for SCLC messages on the way to the end destination. If decrementing the counter results in the value of zero in a non-end destination node, the SCCP initiates the appropriate error procedure.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>15</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hopCounterScoc">
+ <description>The SCOC hop counter is a parameter that is decremented for each performed GT translation for SCOC messages on the way to the end destination. If decrementing the counter results in the value of zero in no end destination node, the SCCP initiates the appropriate error procedure.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>15</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tconnEst">
+ <description>Connection establishment control timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 600 - 1200, Default 600
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>600</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tIas">
+ <description>Send inactivity control timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 3000-6000
+Default 3000</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>3000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tIar">
+ <description>Receive inactivity control timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 6600-12600
+Default 6600</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>6600</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tRel">
+ <description>Connection release timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 100-200
+Default 100</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>100</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tCong">
+ <description>Congestion timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 10-2550
+Default 300</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tStatInfo">
+ <description>Subsystem Status Test timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 10-2550
+Default 300</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>300</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tconnResp">
+ <description>Connection response waiting timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 100-200
+Default 150</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>150</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tReass">
+ <description>Reassembly timer.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 100-200
+Default 150</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>150</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tGuard">
+ <description>Guard timer for program restart not applicable for this CPP version. Instead a restart counter is used to improve restart performance.
+
+Unit: deciseconds (0.1 seconds).
+
+Guiding values;
+Range 13800-15000 (23-25 minutes)
+Default 1380 (23 minutes)
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>13800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="smiValue">
+ <description>The SMI (Subsystem Multiplicity Indicator) is always ignored for incoming messages. This SCCP can however be configured to insert a specific SMI value in outgoing messages. The coding and interpretation is as follows:
+
+Coding Comment
+ 0 | Affected Subsystem multiplicity unknown.
+ 1 | Affected Subsystem is solitary.
+ 2 | Affected Subsystem is duplicated.
+ 3 | Spare.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="useSCMG">
+ <description>The use of SCMG functions.
+
+SST = SCCP Subsystem Test message.
+SSA = SCCP Subsystem Allowed message.
+SSP = SCCP Subsystem Prohibited message.
+SSC = SCCP Subsystem Congested message.
+
+The attributes contained in the struct is:
+initiateTimerTcon,
+allowRemoteBroadcast,
+useSST,
+useSSP,
+sendSSA,
+sendSST
+
+</description>
+ <dataType>
+ <structRef name="Scmg"/>
+ </dataType>
+ </attribute>
+ <attribute name="maxRelayedConn">
+ <description>Connection Oriented SCCP Signaling Relay Point (SRP-CO) and Maximum number of Relayed Connections is not used in this CPP version.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>524288</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTSent">
+ <description>Performance monitoring counter for number of sent UDT's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTRec">
+ <description>Performance monitoring counter for number of received UDT's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTSSent">
+ <description>Performance monitoring counter for number of sent UDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUDTSRec">
+ <description>Performance monitoring counter for number of received UDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTSent">
+ <description>Performance monitoring counter for number of sent XUDT's.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTRec">
+ <description>Performance management counter for number of received XUDT's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTSSent">
+ <description>Performance monitoring counter for number of sent XUDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfXUDTSRec">
+ <description>Performance monitoring counter for number of received XUDTS's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCRSent">
+ <description>Performance monitoring counter for number of sent CR's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCRRec">
+ <description>Performance monitoring counter for number of received CR's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDT1Sent">
+ <description>Performance monitoring counter for number of sent DT1's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDT1Rec">
+ <description>Performance monitoring counter for number of received DT1's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfERRSent">
+ <description>Performance monitoring counter for number of sent ERR's.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfERRRec">
+ <description>Performance monitoring counter for number of received ERR's.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSubsysAllowedSent">
+ <description>Performance monitoring counter for number of sent SSA's, subsystem allowed.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCREFRecFromNL">
+ <description>Performance monitoring counter for number of CREF messages received from NL.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCREFSentToNL">
+ <description>Performance monitoring counter for number of CREF messages sent to NL.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRLSDRecFromNL">
+ <description>Performance monitoring counter for number of RLSD messages received from NL.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRLSDSentToNL">
+ <description>Performance monitoring counter for number of RLSD messages sent to NL.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfConInUseExceedHighWaterMark">
+ <description>Performance monitoring counter for number of connections in use that has exceeded the high watermark threshold.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfConInUseReceededLowWaterMark">
+ <description>Performance monitoring counter for number of connections in use that has receded the low watermark threshold.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLUDTRec">
+ <description>Performance monitoring counter for number of received LUDT messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLUDTSSent">
+ <description>Performance monitoring counter for number of sent LUDTS messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpScrc">
+ <description>The Signalling Connection Control Part Routing Control (SCCP SCRC) MO holds information about the SCCP routing control.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SccpScrcId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailure">
+ <description>Performance monitoring counter for number of routing failures.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfConnectFailure">
+ <description>Performance monitoring counter for number of connect failures.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfHopCounterViolation">
+ <description>Performance monitoring counter for number of Hop counter violations.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailNoTransAddrOfSuchNature">
+ <description>Performance monitoring counter for number of routing failures due to no translation for Nature of Address field.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailNoTransSpecificAddr">
+ <description>Performance monitoring counter for number of routing failures due to no translation of specific address.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailurePointCodeUnAvail">
+ <description>Performance monitoring counter for number of routing failures due to destination point code not available.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailNetworkCongest">
+ <description>Performance monitoring counter for number of routing failures due to network congestion.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailSubsysUnavail">
+ <description>Performance monitoring counter for number of routing failures due to destination subsystem unavailable.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailReasonUnknown">
+ <description>Performance monitoring counter for number of routing failures due to unknown reason.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRoutingFailUnequippedSubsys">
+ <description>Performance monitoring counter for number of routing failures due to unequipped subsystem.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Program">
+ <description>The Program MO represent the executable software on the target hardware.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.
+
+Note! Some programs are not possible to restart or can take part in an RPU. For more information please refer to the LoadModule MO.
+
+</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="loadModule">
+ <description>This attribute gives information about which load module the program is instantiated from.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="LoadModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute shows whether the program has normal performance capability or not.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="startState">
+ <description>This attribute shows whether the program is permitted to enter operational state enabled or not after a PIU restart. The default state is disabled in order to avoid that an operating program and a new version of the same program have its startState enabled at the same time.
+
+It is used on all types of node restarts and restart of PlugInUnit with restartCause = piuRestartUpgrade.</description>
+ <dataType>
+ <enumRef name="StartState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="heapSize">
+ <description>This attribute sets the size of the program heap size.
+
+The value in the referenced LoadModule is fetched by program and used at create if no value is submitted at create of the program MO.
+
+The referenced load module has a default size defined at compile time wich is used if no value is submitted at create of loadModule or the program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.
+
+Note: Setting of this attribute will have no effect until the program is restarted.</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="poolSize">
+ <description>This attribute sets the size of the program pool size. In the referenced LoadModule there is possible to set this value at create.
+
+The value in the referenced LoadModule is fetched by program and used at create if no value is submitted at create of the program MO.
+
+The referenced load module has a default size defined at compile time wich is used if no value is submitted at create of
+LoadModule or the Program Mo.
+
+Note! The value of this attribute is overwritten during Software Upgrade.
+
+Only working for MP-Program
+
+Unit is bytes.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.
+
+Note: Setting of this attribute will not have any effect until the program is restarted.
+
+</description>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="restartTimer">
+ <description>This type specifies the time that a program have to execute without faults, until the restart counter is reset. The time value is interpreted as seconds.
+
+restartTimer= -1 : Forever, implies that the restart counter is only reset when the PIU is restarted.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>-1</min>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="restartCounterLimit">
+ <description>This attribute specifies the number of times that a program can restart, without escalation to a PIU restart. The possible values are:
+
+restartCounterLimit = -1 : Unlimited, means never restart PIU, regardless of the number of times the specific program restarts.
+
+Note: If the program is a child to a Fan Mo this attribute is irrelevant.
+
+Note! The value 3 means 3 restarts, hot, warm and finally as a last resort PIU restart.
+
+Note! The program escalates to a PIU restart when the number of restarts reaches the value set for this attribute.
+I.e. if for example the value is set to 5, escalation to PIU restart will occur at the fifth restart.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>-1</min>
+ </range>
+ <defaultValue>3</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ProgramId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Request to restart the program.
+Only valid for MP programs.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="UniSaalProfile">
+ <description>The UniSaal Profile MO represent and keeps attribute values used by one or more UNI SAAL termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="UniSaalTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>See structure UniSaalProfileData</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="UniSaalProfileData"/>
+ </dataType>
+ </attribute>
+ <attribute name="UniSaalProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="UniSaalTp">
+ <description>The MO represents an access point for a user where a UNI SAAL connection is terminated. The access point depends
+on an AAL5 connection termination located on the same processor.
+
+UniSaalTp can only terminate on a Mp processor.
+
+Note! The performance monitoring counters found in NniSaalTp MO is set to zero when the Mo and its RO is created.
+These counters are not reset unless there occurs a fault situation that demands a restart and recreation of the ROs.
+Also note that some of these counters are reset based on other conditions. For more details please refer to the
+descriptions of the performance monitoring counters in this MO.</description>
+ <attribute name="UniSaalTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the UniSaalTp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="uniSaalProfileId">
+ <description>Reference to a UniSaalProfile MO.
+
+The maxStat attribute defined in the UniSaalProfile MO is dependent on the AAL5 SDU size defined in the Aal5TpVccTp MO. See the description of the maxStat attribute in the UniSaalProfile MO.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="UniSaalProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpId">
+ <description>Reference to an Aal5TpVccTpMo.
+
+Note: The Aal5 termination point must terminate on a main processor, MP.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="maxSduSize">
+ <description>Maximum SAAL SDU size. The attribute is dependent on the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The 'maxSduSize' may not exceed the AAL5 maximum SDU size (the lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+
+Example: If 'fromUserMaxSduSize' is set to 256 and 'toUserMaxSduSize' is set to 512 in the Aal5TpVccTp MO, the ' maxSduSize' in the UniSaalTp MO may not exceed 252.
+
+(i.e. maxSduSize &lt;= the aal5MaxSduSize - 4 bytes)
+
+Note! When setting the value of 'maxSduSize' the 4 bytes used by the SAAL layer for the SAAL header has to be considered.
+
+Note! The upper limit of 2044 (2048 including the SAAL header) is due to limitations on the AAL5 layer.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>128</min> <max>2044</max>
+ </range>
+ <defaultValue>128</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSentSDUs">
+ <description>Number of successfully sent SDUs.
+Counts the number of successfully sent messages to the
+application using SAAL. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReceivedSDUs">
+ <description>Number of successfully received SDUs.
+Counts the number of successfully received messages from
+ the application using SAAL. Reset when the link goes
+ InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLinkInServiceTime">
+ <description>The accumulated time (in seconds) the signalling link has
+ been in service (in assured data transfer mode) since it was created. If the link is down the value 0 is returned.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAllSLFailures">
+ <description>Number of all Signalling Link failures.
+Is a total sum of the error counters:
+ - Number of protocol errors
+ - Number of unsuccessfully retransmissions
+ - Number of NoResponses
+ - Number of other errors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNoResponses">
+ <description>Number of no response. This counter is increased when SSCOP (Service Specific Connection Oriented Protocol)
+send an MaaError with error code P.
+For details refer to ITU-T Q.2110 - B-ISDN AATM Adaptation Layer - Service Specific Connection Oriented Protocol (SSCOP); (7/94).
+Counts the number of no responses detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalCongestions">
+ <description>Number of local congestions.
+This counter is increased when the sum of SAAL send and retransmission buffers are filled to more than 90%.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRemoteCongestions">
+ <description>Number of remote congestions.
+This counter is increased when the remote side gives SAAL no credit. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSequenceDataLosses">
+ <description>Number of sequences data loss.
+Counts the number of SD loss detected the last 30 minutes</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfProtocolErrors">
+ <description>Number of unsolicited or inappropriate PDUs. This counter is increased when SSCOP (Service Specific Connection Oriented Protocol)
+send an MaaError with error code Q.
+For details refer to ITU-T Q.2110 - B-ISDN AATM Adaptation Layer - Service Specific Connection Oriented Protocol (SSCOP); (7/94).
+Counts the number of protocol errors detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccReTransmissions">
+ <description>Number of unsuccessful retransmissions.
+Counts the number of unsuccessfully retransmissions detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOtherErrors">
+ <description>Number of other list element errors. This counter is increased when SSCOP (Service Specific Connection Oriented Protocol)
+send an MaaError with error code Q-U.
+For details refer to ITU-T Q.2110 - B-ISDN AATM Adaptation Layer - Service Specific Connection Oriented Protocol (SSCOP); (7/94).
+Counts the number of other errors detected the last 30 minutes. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="E1PhysPathTerm">
+ <description>This MO represents an E1 (i.e. 2 Mbit/s) physical interface in the node. The E1PhysPathTerm MO does not define which type of traffic (TDM or ATM) that the interface should support.
+Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+Note! The performance monitoring counters in the E1PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>This attribute describes the current Loopback State of this physical path termination.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="E1PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="crc4Mode">
+ <description>Indicates what Cyclical Redundancy Check 4 Mode that is being used.</description>
+ <dataType>
+ <enumRef name="Crc4Mode">
+ <defaultValue>AUTOMATIC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="degDegThr">
+ <description>The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="degDegM">
+ <description>How many bad seconds in a row that causes a Degraded Signal alarm.
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="J1PhysPathTerm">
+ <description>This MO represents an J1 (i.e. 1.5 Mbit/s) physical interface in the node. The J1PhysPathTerm MO does not define which type of traffic (TDM or ATM) that the interface should support.
+Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+The performance monitoring counters in the J1PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <attribute name="J1PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="physicalLineType">
+ <description>Supported interfaces is defined in J1Types</description>
+ <dataType>
+ <enumRef name="J1Type">
+ <defaultValue>j1_G</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="degThreshold">
+ <description>Maximum allowed BER(Bit Error Rate). Degraded signal (DEG) if BER &gt; degThreshold. The attribute gives a maximum BER of 10e-X, where X ranges from 5 to 9 (default 6)
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>3</min> <max>9</max>
+ </range>
+ <defaultValue>6</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter for Errored Seconds, ES.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Severly Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal0TpVccTp">
+ <description>This MO is used to represent the point in the node where an AAL0 connection is terminated. At this point the user exchange SDUs with its peer at the remote end of the AAL0 connection.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.
+
+</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="processorId">
+ <description>This attribute specifies on what processor the termination point resides. It could either be a board processor or main processor (MO type PlugInUnit), or a SP processor (MO type Spm).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational State reflects the operational state of the end points and the belonging node connections.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>The virtual channel to which this MO is termination.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="Aal0TpVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second.
+
+Activates VC end to end continuityCheck according to ITU.T rec I.610.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlkSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (i.e. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for forwarded lost cells.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forwarded missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forwarded errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal5TpVccTp">
+ <description>This MO is used to represent the point in the node where an AAL5 connection is terminated. At this point the user exchanges SDUs with its peer at the remote end of the AAL5 connection.
+
+Note! The 'mtuSize' on the IpAtmLink MO does not include 8 bytes required for the LLC/SNAP header and this should be taken into consideration when setting the SduSize on the Aal5TpVccTp MO.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0..</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="processorId">
+ <description>This attribute specifies on what processor the protocol is terminated. It could either be a board processor or main processor (PlugInUnit) or a SP processor (Spm).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>The virtual channel to which this MO is termination.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational State reflects the operational state of the end points and the belonging node connections.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="fromUserMaxSduSize">
+ <description>The maximum SDU size in number of octets received from the user (called maxIngressSduSize in the FRO domain).
+
+The allowed range is dependent on the processorType.
+SP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+BP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+MP:LowestMaxSduSize =1, HighestMaxSduSize=2048</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="toUserMaxSduSize">
+ <description>The maximum SDU size in number of octets sent to the user (called maxEgressSduSize in the FRO domain). This attribute is controlled by the processor type.
+
+The allowed range is dependent on the processorType.
+SP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+BP: LowestMaxSduSize =1, HighestMaxSduSize=1600
+MP:LowestMaxSduSize =1, HighestMaxSduSize=2048</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the action reserve from a user of Aal5TpVccTp. It could either be a Cello user like IpAtmLink/UniSaalTp or a node application.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="Aal5TpVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second. Continuity check both enables generation of CC cells as well as detection of LOC
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlkSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.
+</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (i.e. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for number of lost forward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="AtmPort">
+ <description>The AtmPort MO is used to configure a physical port for ATM traffic.
+ATM can be transported accross the physical layer in three distinct and different ways:
+
+- Normal mode
+
+An AtmPort MO is created with a reference to physical layer object (any of E1PhysPathTerm,
+J1PhysPathTerm, T1PhysPathTerm, E3PhysPathTerm, T3PhysPathTerm, Sts3CspeTtp, E1Ttp, T1Ttp or Vc4Ttp).
+The payload of that physical layer object is used to transport the ATM traffic.
+
+- Fractional ATM mode
+
+An AtmPort MO is created with a reference to a Ds0Bundle object. The Ds0Bundle specifies
+in this case the timeslots on the physical layer (any of E1PhysPathTerm,J1PhysPathTerm,
+T1PhysPathTerm, T1Ttp or E1Ttp) that should be used for ATM traffic. The remaining timeslots
+are not used at all.
+I.e. when fractional ATM is used only one Ds0Bundle can exist as a child to a physical port MO.
+
+- IMA mode
+
+In IMA mode, a number of physical ports are grouped together to form one "virtual" physical
+ATM pipe. In this mode the AtmPort MO is created with a reference to an IMAGroup MO.
+Please refer to the ImaGroup MO for further details.
+
+Note! The performance monitoring counters in the AtmPort MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again..
+
+
+</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="AtmPortId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the ATM port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the AtmPort.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmTransmittedAtmCells">
+ <description>Performance monitoring counter for number of transmitted ATM cells through the ATM port. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmReceivedAtmCells">
+ <description>Performance monitoring counter for number of received ATM cells through the ATM port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="valueOfLastCellWithUnexp">
+ <description>This attribute returns the ATM header, (vpi, vci, pti), of the last received unexpected cell.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <structRef name="ValueOfUnex"/>
+ </dataType>
+ </attribute>
+ <attribute name="uses">
+ <description>Reference to the physical port, IMA Group or Ds0Bundle used by the ATM port.
+
+The possible MOs are:
+E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E3PhysPathTerm, T3PhysPathTerm, Sts3CspeTtp, Sts1SpeTtp, Vc4Ttp, E1Ttp, T1Ttp, Ds0Bundle and ImaGroup.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmSecondsWithUnexp">
+ <description>Performance monitoring counter for errored seconds with discarded cells due to protocol errors (unexpected, UNEX, events).
+
+I.610: With protcol errors is meant the reception of cells with either a non-standardized or a non-currently allocated header value.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hecCorrectionMode">
+ <description>Header Error Correction.
+
+This attribute specifies if the AtmPort should detect and correct single bit errors or detect multiple bit errors in the ATM header.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bAp">
+ <description>This MO represents an MTP3b Access Point (in a remote node). An MTP3b AP is a logical point in the MTP network at which an
+MTP3b user can access the services provided by MTP3b and the MTP3b can deliver its services to the MTP3b user.
+
+The performance monitoring counters found in Mtp3Ap MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetId">
+ <description>The routeSetId attribute points out the MTP3b Signalling Route Set (SRS) to which the Mtp3bAp MO is connected. </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bSrs"/>
+ </dataType>
+ </attribute>
+ <attribute name="serviceInd">
+ <description>The serviceInd attribute (Service Indicator, SI) is used by traffic handling functions to perform message distribution.
+Only SI=3 for SCCP usage and SI=12 for Q.2630.1 usage and SI=14 for GCP (H.248) usage have any meaning in this case.
+
+Full range of values for attribute SI:
+0 = Signalling network management messages
+1 = Signalling network testing &amp; maintenance regular messages
+2 = Signalling network testing &amp; maintenance special messages*
+3 = SCCP
+4 = Telephone User Part (TUP)
+5 = ISDN User Part (ISUP)
+6 = Data User Part, call and circuit related messages
+7 = Data User Part, facility registration and cancellation messages
+8 = MTP Testing User Part
+9 = Broadband ISDN User Part
+10 = Satellite ISDN User Part
+11 = Spare
+12 = Q.2630.1
+13 = Spare
+14 = H.248
+15 = Spare
+
+Note! The serviceIndicator has to be unique for each Mtp3bAp witin the same Mtp3bSrs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute determines the operational status of the MTP3b AP .
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp3bApId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUserPartUnavailRec">
+ <description>A performance management counter for number of received User Part Unavailable messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAdjacentSPNotAccessible">
+ <description>Performance management counter for number of Adjacent SP's that are not accessible via direct links.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="NniSaalProfile">
+ <description>The NniSaal Profile MO represents and keeps attribute values used by one or more NNI SAAL termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of NniSaalTp�s that have reserved this NniSaalProfile.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="NniSaalTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>See structure NniSaalProfileData.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="NniSaalProfileData"/>
+ </dataType>
+ </attribute>
+ <attribute name="NniSaalProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="NniSaalTp">
+ <description>The MO represents an access point for a user where a NNI SAAL connection is terminated. The access point depends
+on an AAL5 connection termination located on the same processor.
+
+NniSaalTp can only terminate on a Mp processor.
+
+Note! The performance monitoring counters found in NniSaalTp MO is set to zero when the Mo and its RO is created.
+These counters are not reset unless there occurs a fault situation that demands a restart and recreation of the ROs.
+Also note that some of these counters are reset based on other conditions. For more details please refer to the
+descriptions of the performance monitoring counters in this MO.</description>
+ <attribute name="NniSaalTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the NniSaalTp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="nniSaalProfileId">
+ <description>Reference to a NniSaalProfile MO.
+
+The maxStat attribute defined in the NniSaalProfile MO is controlled by the AAL5 SDU size defined in the Aal5TpVccTp MO. See the description of the maxStat attribute in the NniSaalProfile MO.
+
+Note! The consistency check of the attributes in the two MOs mentioned above could not be performed until the NniSaalTp MO was created since there is no direct relation between the objects. It is therefore the responsibility of NniSaalTp to verify the consistency.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="NniSaalProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpId">
+ <description>Reference to a Aal5TpVccTp MO.
+
+Note: The Aal5 termination point must terminate on a main processor, MP.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="maxSduSize">
+ <description>Maximum SAAL SDU size. The attribute is dependent on the AAL5 maximum SDU size defined in the Aal5TpVccTp MO. The 'maxSduSize' may not exceed the AAL5 maximum SDU size (the lower of the two directions, fromUserMaxSduSize and toUserMaxSduSize).
+
+Example: If 'fromUserMaxSduSize' is set to 256 and 'toUserMaxSduSize' is set to 512 in the Aal5TpVccTp MO, the ' maxSduSize' in the NniSaalTp MO may not exceed 252.
+
+(i.e. maxSduSize &lt;= the aal5MaxSduSize - 4 bytes)
+
+Note! When setting the value of 'maxSduSize' the 4 bytes used by the SAAL layer for the SAAL header has to be considered.
+
+Note! The upper limit of 2044 (2048 including the SAAL header) is due to limitations on the AAL5 layer.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>128</min> <max>2044</max>
+ </range>
+ <defaultValue>128</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSentSDUs">
+ <description>Number of successfully sent SDUs.
+Counts the number of successfully sent messages to the
+application using SAAL. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReceivedSDUs">
+ <description>Number of successfully received SDUs.
+Counts the number of successfully received messages from
+ the application using SAAL. Reset when the link goes
+ InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLinkInServiceTime">
+ <description>The accumulated time (in seconds) the signalling link has
+ been in service (in assured data transfer mode) since it was created. If the link is down the value 0 is returned.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAllSLFailures">
+ <description>Number of all Signalling Link failures.
+Is a total sum of the error counters:
+ - Number of protocol errors
+ - Number of unsuccessfully retransmissions
+ - Number of NoResponses
+ - Number of other errors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNoResponses">
+ <description>Number of no response.
+Counts the number of no responses detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalCongestions">
+ <description>Number of local congestions.
+This counter is increased when the sum of SAAL send and retransmission buffers are filled to more than the congestionOnSet attribute is configured to..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRemoteCongestions">
+ <description>Number of remote congestions.
+This counter is increased when the remote side gives SAAL no credit. Reset when the link goes InService or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAlignmentFailures">
+ <description>Number of alignment or proving failures. This counter is
+increased when "alignment not successful".
+The counter is reset when the link is created or the counter "overflows".</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSequenceDataLosses">
+ <description>Number of sequences data loss.
+Counts the number of SD loss detected the last 30 minutes</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfProtocolErrors">
+ <description>Number of unsolicited or inappropriate PDUs.
+Counts the number of protocol errors detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccReTransmissions">
+ <description>Number of unsuccessful retransmissions.
+Counts the number of unsuccessfully retransmissions detected the last 30 minutes.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOtherErrors">
+ <description>Number of other list element errors.
+Counts the number of other errors detected the last 30 minutes. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="AtmTrafficDescriptor">
+ <description>This MO specifies traffic and QoS parameters for virtual channel connections.
+
+The attributes can only be set in certain combinations:
+
+CBR:
+ingressAtmQos /egressAtmQos:
+1, 2
+
+ingressAtmPcr /egressAtmPcr:
+mandatory (must be specified)
+
+ingressAtmMcr /egressAtmMcr:
+optional (not used, any value submitted will be ignored)
+
+UBR+:
+ingressAtmQos /egressAtmQos:
+3
+
+ingressAtmPcr /egressAtmPcr:
+optional (if shaping is used the desired rate is specified, 0 means no shaping)
+
+ingressAtmMcr /egressAtmMcr:
+mandatory (must be specified)
+
+UBR:
+ingressAtmQos /egressAtmQos:
+4
+
+ingressAtmPcr /egressAtmPcr:
+optional (not used, any value submitted will be ignored)
+
+ingressAtmMcr /egressAtmMcr:
+optional (not used, any value submitted will be ignored)
+
+Note that the VP sub layer only supports ServiceCategory = CBR and QoS = 1.
+
+Note! The performance monitoring counters in the AtmTrafficDescriptor MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <attribute name="AtmTrafficDescriptorId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>This MO's user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ingressAtmPcr">
+ <description>Ingress ATM Peak cell rate (cells/s).
+
+Only positive values are allowed. This attribute is only mandatory when serviceCategory is CBR or UBR_PLUS.
+
+Note! When 'serviceCategory' is set to UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! When 'serviceCategory' is set to UBR+ the value 0 (zero) means that shaping is not used. A value larger than the minimum desired cell rate enables shaping to the rate specified.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ingressAtmQos">
+ <description>Ingress ATM quality of service.
+
+This attribute can be set to Class 1 and 2 if attribute serviceCategory is set to CBR.
+
+This attribute can be set to Class 3 if attribute serviceCategory is set to UBR+.
+
+This attribute can be set to Class 4 if attribute serviceCategory is set to UBR.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AtmQos">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="egressAtmPcr">
+ <description>Egress ATM Peak cell rate (cells/s).
+
+Only positive values allowed.
+
+This attribute is only mandatory when serviceCategory is CBR or UBR_PLUS.
+
+Note! When 'serviceCategory' is set to UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! When 'serviceCategory' is set to UBR+ the value 0 (zero) means that shaping is not used. A value larger than the minimum desired cell rate enables shaping to the rate specified.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="egressAtmQos">
+ <description>Egress ATM quality of service.
+
+This attribute can be set to Class 1 and 2 if attribute serviceCategory is set to CBR.
+
+This attribute can be set to Class 3 if attribute serviceCategory is set to UBR+.
+
+This attribute can be set to Class 4 if attribute serviceCategory is set to UBR.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AtmQos">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Sequence of Users that are using this AtmTrafficDescriptor.
+
+
+</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="egressAtmMcr">
+ <description>Egress ATM minimum desired cell rate.
+
+Only positive values allowed. The attribute is only mandatory when serviceCategory is UBR+.
+
+Note! When 'serviceCategory' is set to CBR or UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! This attribute cannot have a value larger than for 'egressAtmPcr'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ingressAtmMcr">
+ <description>Ingress minimum desired cell rate (cells/s).
+
+Only positive vaues allowed. This attribute is mandatory only when serviceCategory is UBR+.
+
+Note! When 'serviceCategory' is set to CBR or UBR this attribute has no relevance and the value submitted is ignored by the system.
+
+Note! This attribute cannot have a value larger than for 'ingressAtmPcr'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="serviceCategory">
+ <description>The service category.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="ServiceCategory">
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSrs">
+ <description>This MO represents/models a MTP3b Signalling Route Set.
+
+The performance monitoring counters found in Mtp3Srs MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).</description>
+ <attribute name="Mtp3bSrsId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Mtp3bSrs MO.
+
+There are two possible states for the operationalState attribute:
+
+- enabled, the MO is partly or fully operational.
+- disabled, the MO is not operational.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the local action Reserve.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="autoReroute">
+ <description>This flag indicates Whether automatic rerouting is performed(true) or not(false), between IP and ATM/TDM
+routes when configuration is made. If set to 'false', it has to be done manually, for ex. by management actions(orders).
+
+Note! The attribute 'autoReroute' will always be set to 'false' regardless of value submitted. This attribute is to be removed in coming releases.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="destPointCode">
+ <description>The destPointCode attribute (destination point code, DPC) represents the point code of this Mtp3b SRS MO.
+The Destination Point Code in a Route Set has to be unique within each Signaling Point.
+
+Note! The format of destPointCode must correspond to the signallingPointCode format in the Mtp3bSp, i.e. ITU, ANSI, TTC or China.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16777215</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="congestedLevel">
+ <description>There are two possible states for the congestedLevel attribute:
+
+- notCongested, no change in congestion level.
+- congested, change in congestion level has occurred.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bCongestLevel">
+ <defaultValue>notCongested</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDiscardedMsgFromBroadToNarrow">
+ <description>Performance management counter for number of messages discarded from broadband to narrowband.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTransferControlledRec">
+ <description>Performance monitoring counter for number of received Transfer Control (TFC) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTransferProhibitedRec">
+ <description>Performance monitoring counter for number of received Transfer Prohibited (TFP) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTransferAllowedRec">
+ <description>Performance monitoring counter for number of received Transfer Allowed (TFA) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="routeSetTestAnyRoute">
+ <description>The route set test is only available for the Japanese Mtp3b, TTC.
+
+See JT-Q.707 for details.
+
+The test selects a route in the route set and tests it.
+
+Possible return codes are:
+
+0 : successful test
+48 : unsuccessful test
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="routeSetTestSpecificRoute">
+ <description>The route set test is only available for the Japanese Mtp3b, TTC.
+
+See JT-Q.707 for details.
+
+The test tests the specified route.
+
+Possible return codes are:
+
+0 : successful test
+48 : unsuccessful test
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <parameter name="routeId">
+ <in/>
+ <dataType>
+ <moRef name="Mtp3bSr"/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="PlugInUnit">
+ <description>This MO is used to represent a plug-in-unit, that is a board inserted in a slot in a subrack.
+
+Note! Before deleting the PlugInUnit MO, administrativeState must be set to locked.</description>
+ <attribute name="PlugInUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>A free tag that a user can associated to the this managed object. The value has no relevance for the managed system.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the PlugInUnit.
+
+The possibility to rollback the setting of this attribute is limited.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state is set to 'enabled' when the plug-in-unit has loaded and started its 'Basic' load module.</description>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="productType">
+ <description>This attribute describes the product type and is always set to the value "HW".</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>"HW"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="piuType">
+ <description>A reference to an available PiuType at create of a PIU.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PiuType"/>
+ </dataType>
+ </attribute>
+ <attribute name="piuGroupNumber">
+ <description>Indicates which restart group the plug-in unit belongs to.
+Such a group consists of a number of plug-in units where at least one must be enabled (working) or performing recovery. When all plug-in units in a group are out of function, the node is restarted.
+
+Must have a positive value.
+
+Zero indicates no group.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65536</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. It is a bit mapped CORBA long, where one or more of the bits may be set. If none of the bits are set then the availability status is considered to be undefined.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of managed objects depending on PlugInUnit</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmProcessorLoad">
+ <description>The measurement is done over 100 ms, and returns the sum of load on processes up to, and including process priority 23. The sum is expressed in %
+
+Supported on MP, BP and SP boards.
+
+In case of SP boards the returned processor load is the load of the BP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="allowedSeqRestarts">
+ <description>The number of automatic (do not require intervention from a human operator) sequential restarts that are allowed for a PIU. When this number of automatic restarts has been exceeded, the automatic restarting halts and the PIU is faulty marked.
+
+The time limit between two restarts is 5 minutes. This means that if the time between two restarts
+is less then 5 minutes the restarts are counted, otherwise the restart counter is reset.
+
+For example, 3 means 3 restarts with time limit &lt; 5 minutes are accepted but when the 4:th occurs within 5 minutes the board is considered faulty and an alarm is generated.</description>
+ <dataType>
+ <enumRef name="SeqRestarts">
+ <defaultValue>RESTARTS_WITH_WARM</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>
+Note! The restartCause can have the following values:
+1 = restart error
+2 = restart upgrade
+
+Note! The restartCause piuRestartUpgrade overrides the restartRank value and gives mainly restartRank=warm (or restartRank=refresh in some upgrade situations.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="restartRank">
+ <in/>
+ <dataType>
+ <enumRef name="RestartRank">
+ </enumRef>
+ </dataType>
+ </parameter>
+ <parameter name="restartCause">
+ <in/>
+ <dataType>
+ <enumRef name="RestartCause">
+ </enumRef>
+ </dataType>
+ </parameter>
+ <raisesException name="ValueOutOfRangeException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSls">
+ <description>This MO represents the SS7 Signalling Link Set as defined in Recommendation Q.704 Ver. 3, April 28 1992 (ITU) T1.111-1996 (ANSI), JT-Q.704 Ver. 3, April 28 1992 (TTC) or GF001-9001 1990 (China).
+
+It provides information about a set of signalling links between an OPC/Adjacent PC pair. A link set is constructed from between 1 to16 Signalling Links (for China, Itu, Ttc and the ANSI standard), all of which have the same two end points (signalling points) as the Signalling Link Set. A Signalling LInk Set may be part of many routes.</description>
+ <attribute name="Mtp3bSlsId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Use label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP3B SLS.
+
+The operability of the MTP3B SLS is described by the operationalState attribute which has two possible values:
+
+- Enabled: The MTP3B SLS is partly or fully operable and available for use. At least one of the belonging links (SL) is enabled.
+- Disabled: The MTP3B SLS is totally inoperable and unable to provide service to the user.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>The usageState reflects the usage state of the links in the link set. There are three possible values:
+
+- Active: At least one of the belonging SLs of the SLS is Active and no link is Busy.
+- Busy: If one of the belonging links is Busy.
+- Idle: All SLs of the SLS are Unavailable.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="periodicLinkTestFlag">
+ <description>The periodicLinkTestFlag indicates if periodic sending of SLTM/SLTA messages are used or not. The SLTM message will be sent on every link which is "aligned" at an interval of (SLTM timer). The answer, SLTA is supposed to arrive within (SLTA timer). If this doesn�t happen, or if the received SLTA doesn�t match the sent SLTM a second SLTM will be sent. If this SLTM also fail then the link will be considered 'out-of-service' and a restart of that link will begin.
+
+ANSI: true is default.
+ITU: true is default.
+China: true is default.
+TTC: false is default.
+
+Note that TTC does not use this feature.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bSrsId">
+ <description>The mtp3bSrsId attribute points out the corresponding MTP3b Signalling Route Set MO (adjacentDPC).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bSrs"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp3bSr"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="deactivateLinkSet">
+ <description>This action deactivates a link set, which means the containing signalling links are taken out of service.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="activateLinkSet">
+ <description>A linkset containing signalling links which are all out of service, is called a deactivated linkset. In order to start up the signalling links and get them into service, there is an Activate Link Set action, or rather, there are two similar actions for activation of a link set.
+
+If the action fails to activate a link the ActivationFailed exception is raised.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="emergencyActivateLinkSet">
+ <description>This action activates the signalling link with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSr">
+ <description>This MO represents a MTP3b Signalling Route. A Signalling Route is used to convey MTP3b User messages from a origin SP to a destination SP (Signalling Point). This MO is multiple instansiated and is contained in the MO MTP3b Signalling Route Set. A Signalling Route is from a network point of view the sequence of link sets from a originating signalling point to a destination signalling point.
+
+Note! At least one signalling route in the signalling route set must have priority = 1.
+If lower priorities are defined the next level must be priority=2. (e.g. routes with priority =1 and =3 in a route set will not work).
+
+Note! Signalling Routes belonging to the same route set with the same priority must have the same type of bearer (Broad Band or Narrow Band).</description>
+ <attribute name="Mtp3bSrId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="linkSetM3uId">
+ <description>The MTP3b Signalling Link Set (MTP3bSls) or M3uAssociation to which the MTP3bSr MO is connected.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state notification.There are two possible states for the operationalState attribute:
+- enabled, possible to perform operations on the MTP3b SR.
+- disabled, not possible to perform operations on the MTP3b SR.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+-active, route is active.
+-busy, route is congested.
+-idle, route not in use.
+
+(idle=0, active=1, busy=2)
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="priority">
+ <description>The priority of the alternative route. The traffic is directed to the highest priority route(s) available in the route set. If more than one route has the same priority within a route set then loadsharing is permitted between these routes.
+The value 1 is considered as the highest priority.</description>
+ <mandatory/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>5</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeType">
+ <description>The type of the route.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bRouteType">
+ <defaultValue>TDM_ATM</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="RouteBlockingState">
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="RouteState">
+ <defaultValue>NOT_BLOCKED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSecondsAccumulatedRouteUnavailable">
+ <description>The number of seconds (accumulated) route unavailable.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="blockSignalingRoute">
+ <description>This action blocks the Signaling Route.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deBlockSignalingRoute">
+ <description>This action deblocks the Signaling Route.
+
+Note! This action requires antransaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="IpSystem">
+ <description>The IP System MO constitutes the top structure level for IP related MOs in a Cello node.
+
+The IpSystem MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, IpSystem=1.
+</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>An operator defined label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="IpSystemId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="ping">
+ <description>Ping - used to call a host or router to find out if it is present in the network. The following input parameter is used by the Ping action:
+The domain name or IP address to the host that shall be called.
+
+The following parameter is returned:
+Ping result: 'IP address/domain name' - is alive or no answer.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <string/>
+ </returnType>
+ <parameter name="host">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="traceRoute">
+ <description>Trace route - used to get information about the route through the IP network that is used for a destination with a specific IP address.
+
+The following input parameter is used by the trace route action:
+The domain name or IP address to a host that shall be trace-routed.
+
+The following parameter is returned:
+Trace result - list of IP addresses/domain names or path not found.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <string/>
+ </returnType>
+ <parameter name="host">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="IpAtmLink">
+ <description>This MO represents an Internet Protocol over ATM link. LLC/SNAP encapsulated packets over Atm Adaptation Layer 5, AAL5, ATM PVCs are used.
+
+Note: The performance monitoring counters in the IpAtmLink MO has a "Wrap-around time" of approximately 2 hours.
+
+Note! When setting the 'fromUserMaxSduSize' and 'toUserMaxSduSize' on the Aal5TpVccTp MO the defined SduSize should be 8 bytes larger then the 'mtuSize' on the IpAtmLink.
+
+Note! Only Aal5TpVccTp's that terminates on an MP (Main Processor) may be used when setting up IpAtmLink's.</description>
+ <attribute name="IpAtmLinkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>The User Label attribute gives the operator the possibility to label the links.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the IP over ATM link is dependent of the operational states of the underlying AAL5 termination points that are used to transport IP datagrams. If at least one operational AAL5 termination point (MO: AAL5 TP VCC TP) exists, the operational state of the IP over ATM Link is set to "ENABLED"</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. It is a bit mapped CORBA long, where one or more of the bits may be set. If none of the bits are set then the availability status is considered to be undefined.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>If set, indicates that this IpAtmLink is reserved by the OspfInterface represented by this attribute.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="OspfInterface"/>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="subnetMask">
+ <description>The attribute subnetMask states the subnet mask of the IP over ATM link (point to point link).
+
+The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+For a point to point link, the subnetmask 255.255.255.252 is very likely.
+
+Note! The subnet mask has to be contiguos. That is, it has the LSB's set to 0 (zero).
+
+Example:
+
+255.255.255.X
+
+Contiguous subnet mask:
+11111111.11111111.11111111.11111110 (X = 254)
+11111111.11111111.11111111.11111100 (X = 252)
+
+Not-contiguous subnet mask:
+11111111.11111111.11111111.11111010 (X = 250)
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="mtuSize">
+ <description>The attribute mtuSize states the maximum IP datagram size that may be sent on the IP over ATM link in bytes, without fragmentation. Recommended MTU size is 1500 bytes to prevent IP fragmentation.
+
+Note that the size of the IP datagram does not include the 8 Bytes added for the LLC/SNAP header before putting the IP datagram in AAL5 SDU.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>576</min> <max>2040</max>
+ </range>
+ <defaultValue>1500</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="metric">
+ <description>The attribute metric states the metric "cost" of the IP over ATM link. The metric value is calculated as 10^8 /link bit speed.
+
+For example:
+Metric for 10 Mbps Ethernet = 10^8/10^7 = 10
+Metric for 100 Mbps Ethernet = 10^8/10^8 = 1</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>32767</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="monitor">
+ <description>This attribute indicates whether InvATMARP monitor is turned on or off.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="monitorInterval">
+ <description>The interval between sending monitor packets.
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>60</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="monitorRetries">
+ <description>The number of retries when sending monitor packets.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="interfaceName">
+ <description>The interface name.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpSelectionMode">
+ <description>The selection mode for the Aal5TpVccTp's used by the IpAtmLink MO. Determines how the IpAtmLink MO selects the Aal5 termination points used.
+
+In case 'NO_PREFERENCE', 'LOCAL_MP_SELECT_VCC_AT_LINK_UP', LOCAL_MP_PEER_SELECTION_VCC' or 'LOCAL_MP_ACTIVE_RESELECTION' is selected the IpAtmLink MO will prefer Aal5TpVccTp on local MP (i.e. Aal5TpVccTp MOs executing on the same MP as the IpAtmLink), but other Aal5TpVccTp will be accepted if needed.
+
+In case 'ONLY_LOCAL_MP' is selected, the ipAtmLink (Inet Link) will consider Aal5TpVccTp residing on other MPs as a malfunction and discard the usage of them. No InvArp sending will be performed on them and all incomming trafic on them will be discarded. If no local Aal5TpVccTp exists the operational state of the link will be changed to disabled.
+
+ </description>
+ <dataType>
+ <enumRef name="Aal5TpSelectionModes">
+ <defaultValue>NO_PREFERENCE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Aal5TpVccTpIdList">
+ <description>References to MOs of type Aal5TpVccTp representing the underlying AAL5 termination points (of a AAL5 PVC:s) for the IP over Atm link.
+
+The operator gives a list of relative distinguished names of the Aal5TpVccTp MO's to be used by the IP over ATM link.
+An Aal5TpVccTp MO may only be specified once in the list.
+
+To change in the set of Aal5TpVccTp MO's related to the IP over ATM link the link has to be deleted and then created with the desired set of AAL5 TP.
+
+Note! Only Aal5TpVccTp's that terminates on an MP (Main Processor) may be used when setting up IpAtmLink's.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal5TpVccTp"/>
+ <length>4</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInUcastPkts">
+ <description>Performance monitoring counter for the number of input unicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInNUcastPkts">
+ <description>Performance monitoring counter for the number of input broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutUcastPkts">
+ <description>Performance monitoring counter for the number of out unicast packets deliverred to higher layer</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutNUcastPkts">
+ <description>Performance monitoring counter for the number of output broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInErrors">
+ <description>Performance monitoring counter for the number of input packets discarded due to any error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInDiscards">
+ <description>Performance monitoring counter for the number of input packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfifOutDiscards">
+ <description>Performance monitoring counter for the number of outbound packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="assignIpAddress">
+ <description>This action sets the IP address and the subnet mask.
+
+The changing of the IP address with the operation assignIpAddress, it might cause an interruption of the communication between the node and the network management tool. If an incorrect or erroneous IP address is configured, the communication with the node might be lost until the node is restarted or the IP address is changed to the correct value.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="subnetMask">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="EthernetLink">
+ <description>The Ethernet Link MO represents the Internet Protocol over Ethernet link. There is only one Ethernet link MO per Cello node.
+
+The changing of the IP address with operation assignIpAddress might cause interruption of the communication if the network management tool is connected via the ethernet link.
+
+Note: The EthernetLink MO can not be deleted!
+
+Note: The performance monitoring counters in the EthernetLink MO has a "Wrap-around time" of approximately 2 hours.
+</description>
+ <attribute name="userLabel">
+ <description>The User Label attribute gives the operator the possibility to label the links.
+
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The attribute ipAddress states the IP address of the Ethernet link, it is also the IP address for the O&amp;M host..
+
+The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+It must not be a broadcast or multicast address.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="subnetMask">
+ <description>The attribute subnetMask states the subnet mask of the Ethernet link.
+
+The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.
+
+Note! The subnet mask has to contiguos. That is, it has the LSB set to 0 (zero).
+
+Example:
+
+255.255.255.X
+
+Contiguous subnet mask:
+11111111.11111111.11111111.11111110 (X = 254)
+11111111.11111111.11111111.11111100 (X = 252)
+
+Not-contiguous subnet mask:
+11111111.11111111.11111111.11111010 (X = 250)
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="broadcastAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <mandatory/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="mtuSize">
+ <description>The IP layer expects the Ethernet link to have an MTU size (maximum Ethernet frame size without fragmentization) of 1500 bytes.
+
+The value is always the default value.
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1500</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="metric">
+ <description>The attribute metric states the metric of the Ethernet link. The metric value is calculated as 10^8/link bit speed.
+
+For example:
+Metric for 10 Mbps Ethernet = 10^8/10^7 = 10
+Metric for 100 Mbps Ethernet = 10^8/10^8 = 1</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>32767</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ethernet link is dependent of the operational states of the underlying MAU:s that represents the Ethernet transceiver function. If at least one operational MAU exists, the operational state of the Ethernet Link is set to "ENABLED"</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="interfaceName">
+ <description>The interface name.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="macAddress">
+ <description>The mac address is persistently stored in flash prom on the MP where the IP stack resides. It is stored there at manufacturing. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ <length>6</length>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>If set, indicates that this EthernetLink is reserved by the OspfInterface MAO represented by this attribute.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="OspfInterface"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="EthernetLinkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInUcastPkts">
+ <description>Performance monitoring counter for the number of input unicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInNUcastPkts">
+ <description>Performance monitoring counter for the number of input broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutUcastPkts">
+ <description>Performance monitoring counter for the number of out unicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInErrors">
+ <description>Performance monitoring counter for the number of input packets discarded due to any error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInDiscards">
+ <description>Performance monitoring counter for the number of input packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutNUcastPkts">
+ <description>Performance monitoring counter for the number of output broadcast/multicast packets deliverred to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfifOutDiscards">
+ <description>Performance monitoring counter for the number of outbound packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="assignIpAddress">
+ <description>This action sets the IP address and the subnet mask.
+
+The changing of the IP address with the operation assignIpAddress,
+causes an interruption of the communication between the node and
+the network management tool. If an incorrect or erroneous IP address
+is configured, the communication with the node might be lost until
+the node is restarted or the IP address is changed to the correct
+value.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddress">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="subnetMask">
+ <description>The input format used by the operator is four fields of digits, separated by a dot. Each field consists of three digits.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="IpRoutingTable">
+ <description>This MO holds the IP routing table.
+
+The IpRoutingTable MO is automatically created when the Ip MO is created.
+The IpRoutingTable MO can not be created manually.
+The IpRoutingTable MO can not be deleted.
+</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="indexOfDeletableStaticRoutes">
+ <description>This attribute gives the indexes for the static routes that are possible to delete by the operator.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="IpRoutingTableId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="addStaticRoute">
+ <description>Used to add a new static route to the routing table. It can be
+indicated if the static route should be redistributed by OSPF.
+When adding a static route with an ipaddress, which is not a subnet
+address, the ipaddress is recalculated to the corresponding subnet
+address, based on the ipaddress and the subnet mask. So when looking
+for this route using the action 'getRoutingTableEntry' it is in fact
+the recalculated ipaddress, that is displayed and not the ip address
+entered with addStaticRoute. This is an automatic correction.
+
+Note! If an attempt is made to add a static route with hopIpAddress starting with 0.0 an exception will be thrown.
+
+Note! This action requires a transaction.
+
+
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddress">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="subnetMask">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="hopIpAddress">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="routeMetric">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="redistribute">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="listRoutes">
+ <description>List routes actions is used to list all routes in the routing table. It requires no input parameters.
+
+The output is a formatted string, indicating the number of routes in the table followed by route information for each of these routes.
+
+The number of routes in the table is found at the very start of the returned string. Immediately following this is the information on each route in the list in turn. The route information for each route begins with a new line character ("\n") and is followed by the following data items (in the order written below), which are separated from each other by a SPACE character:
+
+- Destination IP address
+- Destination Network mask
+- Next hop IP address/Interface index of connected networks in dot-decimal format
+- Route metric
+- Route type
+- Interface name
+- Redistribute
+- Active
+
+Note that the next hop ipaddress is not an ipaddress per se, it is the subnet on wich the host/router to route to resides.
+
+Below is an example list of routes that could be returned from this action:
+
+"\n123.123.123.123 234.234.234.234 0.0.0.7 10 13 LE0 FALSE active\n122.122.122.122 233.233.233.233 0.0.0.6 2 8 LE0 TRUE active\n132.132.132.132 243.243.243.243. 0.0.0.7 9 13 LE0 TRUE inactive"
+
+In this case, there are three routes in the routing table. The first route has destination IP address of 123.123.123.123, the second route has it set to 122.122.122.122, while finally the third has it set to 132.132.132.132.
+
+NOTE that the route types can be of the following types:
+
+INET_RTPROTO_OTHER 1
+INET_RTPROTO_LOCAL 2
+INET_RTPROTO_NETMGMT 3
+INET_RTPROTO_ICMP 4
+INET_RTPROTO_OSPF 13
+
+The types listed above also denote the origin of the routing entry.
+
+Note! This action does not require a transaction.
+</description>
+ <returnType>
+ <string/>
+ </returnType>
+ </action>
+ <action name="getRoutingTableEntry">
+ <description>Returns a RoutingTableEntry according to the specified index.
+
+Should be used with action 'numberOfRoutes' within a transaction. To refresh the routing table stored in the MO, invoke the action 'numberOfRoutes'.
+
+Precondition: 0 &lt;= index &lt;= numberOfRoutes() - 1
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <structRef name="RoutingTableEntry"/>
+ </returnType>
+ <parameter name="index">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidIndexException"/>
+ </action>
+ <action name="numberOfRoutes">
+ <description>Returns the number of entries in the routing table.
+
+Each time this action is invoked, the MO retrieves routing table information from the resource layer and then builds a new array of RoutingTable structs to store this information.
+
+This action along with getRoutingTableEntry(), which allows one to iterate through the array stored in the MO, should be used together with a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="deleteStaticRoute">
+ <description>Removes the RoutingTableEntry submitted as a parameter from the Routing Table, if it is found in the table.
+
+Note that only static roues, previously added, can be deleted with this action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="routingTableEntry">
+ <in/>
+ <dataType>
+ <structRef name="RoutingTableEntry"/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Ip">
+ <description>The Ip MO represents the IP protocol layer with IP forwarding functionality.
+
+Note: The performance monitoring counters in the Ip MO has a "Wrap-around time" of approximately 2 hours.
+
+</description>
+ <attribute name="IpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="workingMode">
+ <description>The workingMode of the IP MO controls if the IP stack in the node will work as a combined host/router (routerMode) or only as a host (hostMode).</description>
+ <mandatory/>
+ <dataType>
+ <enumRef name="WorkingMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="retransInterval">
+ <description>The retransmission interval. The units are seconds.
+Must be a value &gt; 0.</description>
+ <dataType>
+ <long>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfRetrans">
+ <description>The number of retransmissions.
+Must be a value &gt; 0.</description>
+ <dataType>
+ <long>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="dnsServer">
+ <description>The Domain Name Server IP address.
+
+The IP address must be a Unicast IP address.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>"127.0.0.1"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="isRecursiveSearch">
+ <description>Holds information about how the DNS client wants the DNS server do the search for the IP address in the network . It can be either Recursive search or Non-recursive search.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="isSubDomainName">
+ <description>Holds information about whether to use subsets of the fully qualified domain name to reach the simple domain name. It can be either Use subsets of domain name or Not use subsets of domain name.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="isDefDomainName">
+ <description>Holds information about whether the default domain name is present. It can be Present or Not present.
+
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="defDomainName">
+ <description>Contains default domain name.
+If 'isDefDomainName' is set to 'true' the domain name found in this attribute is used by the DNS resolver and there is no need to submit the complete domain name. If the domain name is for example 'ws5741.uab.ericsson.se' then the default domain name would be '.uab.ericsson.se'. If 'isDefDomainName' is set to true the there is no need for using '.uab.ericsson.se'. If set to false then the complete domain name has to be used.
+A valid domain name consists of 1 or more labels separated by dots (".").
+Valid characters for a label shall be limited to letters 'a' - 'z', 'A' - 'Z', digits '0' - '9', the character '-' ("dash").
+Character case shall be preserved. Labels shall start with a letter. Total length of a label shall not exceed 255 characters.
+
+Note! The first and last character in the domain name has to be within the following alphabet: letters 'a' - 'z', 'A' - 'Z' and digits '0' - '9'. For more information refer to RFC 952 and 1101.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the IP MO is ENABLED if at least one link is operational.
+
+Shows whether the MO is OK (enabled) or failed (disabled). The values are set by the system.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="numberOfLinks">
+ <description>Represents the number of interfaces (Ethernet and IP over
+ATM links) which has registered to the IP MO.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>If set, indicates that this Ip is reserved by the Ospf represented by this attribute.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Ospf"/>
+ </dataType>
+ </attribute>
+ <attribute name="useHostFile">
+ <description>Indicates if the hosts file should be used or not. The hostFile is used by the resolver and
+chosen as a source of information before querying a DNS server.
+
+true - use the host file.
+false - do not use the host file.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="dscp">
+ <description>The DiffServ CodePoint.
+The code point values are used by DiffServ enabled hosts and router to identify different service levels.
+When set, the DSCPs are mapped to PHBs (Per Hop Behaviours) by forwarding devices along the path
+between the source and destination. PHBs dictates how each packet will be handled i.e. what type of
+service that is applied to the packet when it is forwarded.
+
+Note! Only traffic originated from the node is tagged with the dscp value. The Cello router does not implement functionality for handling different service levels when routing IP traffic.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>63</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="icmpRedirect">
+ <description>Indicates if ICMP redirects is sent out or not.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="udpChecksumState">
+ <description>Enable/Disable generation of UDP checksum.
+0 = disabled
+1 = enabled
+
+The UDP checksum is used for verifying that the UDP header has not been damaged during transmit.</description>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="connectionAttemptTimer">
+ <description>The time that elapses before a connection (TCP) attempt times out.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <defaultValue>75</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxRetransmissionAttempts">
+ <description>The maximum number of retransmission attempts before a TCP connection is dropped.
+The default value is 10 attempts, which is around 5 minutes.
+Setting this attribute value to -1 means infinity, and TCP will never give up in trying
+to establish a connection.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>-1</min>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpInReceives">
+ <description>Performance monitoring counter for total number of datagrams received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfHdrErrors">
+ <description>Performance monitoring counter for the number of datagrams discarded due to format error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpAddrErrors">
+ <description>Performance monitoring counter for the number of datagrams discarded due to misdelivery.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpForwDatagrams">
+ <description>Performance monitoring counter for the number of datagrams forwarded.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpInDiscards">
+ <description>Performance monitoring counter for the number of datagrams dascarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpOutDiscards">
+ <description>Performance monitoring counter for the number of datagrams discarded due to lack of resources.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpReasmReqds">
+ <description>Performance monitoring counter for the number of fragments received needing reassembly.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIpReasmOKs">
+ <description>Performance monitoring counter for the number of datagrams successfully reassembled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpEntitySet">
+ <description>This MO represents an SCCP EntitySet which is made of one SCCP Entity. An SCCP Entity is a local MTP-SAP + a DPC + possibly an SSN, i.e an SCCP EntitySet identifies the set of access points that result from a global title translation. An SCCP EntitySet may also be made of two SCCP Entities of the same type (if an SSN is present in one SCCP Entity, then an SSN shall also be present in the other). In the latter case the two SCCP entities may be considered either as a "primary" SCCP Entity and a "backup" SCCP Entity (dupli-dominant mode) or may be interpreted as two equal SCCP entities that can be used for outgoing loadsharing purpose. In this implementation they can only be considered as "primary" and "backup".The Routing Indicator (RI) in the Called Party Address is normally not set by the SCCP for outgoing messages and should therefore be set by the user. This means that if the user has set "Route on SSN" this will be the case after the GTT also even if the set consist of MTP-3b Access Points. However, if the set consists of SCCP Access Points the RI is implicitly set to "Route on SSN" by SCCP.
+
+DPC Destination Point Code
+GTT Global Title Translation
+MTP Message Transfer Part
+SAP Signalling Access Point
+SSN Subsystem Number
+
+Note: the SccpEntitySet MO depends either on MAOs of type SccpApLocal OR SccpApRemote, not on a mixture of these MAO types.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="routeIds">
+ <description>The Entity Set routeId is an array of maximum two instances of Sccp ApLocal MO's OR two instances of SccpApRemote MO's OR two instances of Mtp3bAp MO's OR one instance of SccpSP MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ <length>2</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="sharingMode">
+ <description>The sharingMode attribute controls the distribution of SCCP traffic over the entities (Access Points) in the set.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="SharingMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="newSubSystemNumber">
+ <description>The new sub system number attribute is only valid if the Mtp3b Access Points are used in the set. In that case the SSN attribute allows to optionally set the subsystem number in the Called Party Address as a result of a Global Title Translation. If the set consists of SCCP Access Points the subsystem number in the Called Party Address is always implicitly set to the SCCP Access Point SAP (SSN) as a result of a Global Title Translation.
+This attribute is controlled by the type of AP(s) that the EntitySet refers to.
+
+The default value 0 means no new subsystem number.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>254</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="newGlobalTitle">
+ <description>The New Global Title attribute allows to optionally replace the global title in the Called Party Address as a result of a Global Title Translation (GTT). If the GT resulting from a GTT is different from the GT previously included in the Called Party Address, the newly produced GT replaces the existing one. The gtIndicator attribute is read-only and is derived from the combination of Nature Of Address, the Translation Type and Numbering Plan used.
+
+Default value is considered as no new Global Title.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="GlobalTitleData"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SccpEntitySetId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpGlobalTitle">
+ <description>The SCCP Global Title MO represents a combination of a global title translator and a global title rule. If no Destination Point Code (DPC) is present in the Called Party Address, a Global Title (GT) is needed. Then a global title must be present in the Called Party Address, otherwise a routing error occurs. A global title translator is selected by SCCP based on a combination of the values of the Nature Of Address (NA), the translation type (TT), and the Numbering Plan (NP) if available, in this MO matched with the same information in the Called Party Address provided by an SCCP user. A global title translation rule is selected by SCCP by matching the Global Title Address Information and possibly the Encoding Scheme in this MO, with the same information in the Called Party Address provided by an SCCP user. A global title translation rule points to the SCCP Entity Set resulting from the global title translation (GTT).
+The translation results in a DPC and possibly a new Sub System Number (SSN) or GT or both (decided by the SCCP Entity Set it refers to). If the GT and/or SSN resulting from a GTT is different from the GT and/or SSN previously included in the Called Party Address, the newly produced GT and/or SSN replaces the existing one.
+
+GT - Global Title
+SCCP - Signalling Connection Control Part
+SSN - Sub System Number
+DPC - Destination Point Code
+TT - Translation Type
+GTT - Global Title Translation</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="gtIndicator">
+ <description>Global title indicator for China, ITU, TTC and ANSI.
+
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="GlobalTitleIndicator">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="natureOfAddress">
+ <description>Indicates number type, i.e. national or international number.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NatureOfAddress">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="numberingPlan">
+ <description>Indicates which numbering plan that is used.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NumberingPlan">
+ <defaultValue>UNKNOWN</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="translationType">
+ <description>Used to direct the message to the appropriate Global Title translation function.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>254</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="addressInformation">
+ <description>Digit string of 1-37 digits, where each digit is 0-9, and "B", "C". "B" and "C" are for Code 11 and Code 12.
+The addressInformation may also contain wild card symbols: "*" or "?". Wild cards are only allowed for defining Global Title Translations, they are not allowed for specifying SCCP SAPs (built with SPC and Global Title or SPC + SSN + Global Title).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>37</max>
+ </lengthRange>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="encodingScheme">
+ <description>Indicates if the number of address signals are an odd or even number.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="EncodingScheme">
+ <defaultValue>UNKNOWN</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="sccpEntitySetId">
+ <description>The sccpEntitySetId attribute points out the corresponding SccpEntitySet MO.
+
+Note! This attribute is only optional if this MO is used by Policing. In all other cases it has to be set.</description>
+ <noNotification/>
+ <dataType>
+ <moRef name="SccpEntitySet"/>
+ </dataType>
+ </attribute>
+ <attribute name="SccpGlobalTitleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2PathVccTp">
+ <description>This MO is used to represent an AAL2 Path, i.e. an ATM VC connection that carries multiplexed AAL2 links.
+
+VCC TP - Virtual Channel Connection Termination Point.
+
+The total number of Aal2PathVccTps associated to an Aal2Ap, by connecting them to Aal2PathDistributionUnits under that Aal2Ap, must not exceed 632.
+
+The total number of Aal2PathVccTps associated to a ReliableProgramUniter, by connecting them to Aal2PathDistributionUnits associated to the ReliableProgramUniter, must not exceed 280.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.
+</description>
+ <attribute name="Aal2PathVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>Reference to the VclTp to be used by this Aal2PathVccTp.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the termination point. </description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of the termination point.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving Aal2PathDistribution MO.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of Aal2PathVccTp.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second.
+
+Activates VC end to end continuityCheck according to ITU.T rec I.610.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (ie. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlocksize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="timerCu">
+ <description>Timer that assures that CPS-packets with one or more octets already packed in the ATM cell wait at most the duration of timerCu before being scheduled for transmission.
+
+Units for timerCu is 10 to the power of -4 seconds. This value can be set in steps of 10 to the power of -4.
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aal2PathId">
+ <description>This attribute is used to identify the path in the Q.2630.1 protocol.
+
+The pathId value must be unique within all Aal2PathDistributionUnits under the same Aal2Ap
+
+All integers &gt; 0 are allowed (not 0).
+
+The Aal2PathId is used by the Aal2 connection control function in two Aal2 nodes to uniquely identify an AAL2 path between the two nodes. This means that the value of the attribute Aal2PathId for an Aal2 path must be the same in each of the two nodes.
+
+In order to set this attribute, the Aal2PathVccTp may not be reserved. If an attempt is made, an "IsReservedCanNotSetPathId" exception is thrown.
+
+Note! Setting of this attribute will not have any effect until 'actionAddPath' in Aal2PathDistributionUnit MO' is called adding this MO to the list of Aal2PathVccTp MO's.</description>
+ <mandatory/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2147483647</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aal2PathOwner">
+ <description>This attribute indicates whether this Aal2 Path VCC Termination Point is considered the owner of the Aal2 Path in order to decide channel selection procedure in the Q.2630.1 protocol.
+
+Note! In order to set this attribute, the Aal2PathVccTp may not be reserved. If an attempt is made, an "IsReservedCanNotSetPathId" exception is thrown.
+
+Note! The administrativeState has to be LOCKED when this attribute is set, if not the "IsUnlockedCanNotSetPathOwner" exception is thrown.
+
+Note! Setting of this attribute will not have any effect until 'actionAddPath' in Aal2PathDistributionUnit MO' is called adding this MO to the list of Aal2PathVccTp MO's.</description>
+ <mandatory/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aal2QoSProfileId">
+ <description>The identity of the QosProfile used by the Aal2PathVccTp.</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="Aal2QosProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal2QoSAvailableProfiles">
+ <description>This attribute specifies which QoSClass that can be supported by this Aal2Path.
+</description>
+ <dataType>
+ <enumRef name="SupportedQoSClasses">
+ <defaultValue>CLASS_A_B_C_D</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for forwarded lost cells.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forwarded missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forwarded errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2RoutingCase">
+ <description>This MO represents a AAL2 routing case which points out an AAL2 access point. </description>
+ <attribute name="Aal2RoutingCaseId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="routeList">
+ <description>An array of alternative routes identified by the concerned Aal2Ap MOs. A routeList can have 0 to 5 Aal2Aps.
+The Aal2Ap must be unique.
+Note! if this attribute is set, the routePriorityList also must be se with the same number of values ( if the routeList
+contains 3 routes, the routePriorityList must contain 3 prioritys).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2Ap"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="routePriorityList">
+ <description>A list of all the Aal2Ap priorities.
+The priority values can be in the range 1 to 5, one beeing the highest priority.
+The Aal2Aps can have the same priorities.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <long>
+ <range>
+ <min>0</min> <max>5</max>
+ </range>
+ </long>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="numberDirection">
+ <description>Defines the possible set of AAL type 2 Service Endpoint Addresses (A2EA) that match the routing case.
+
+The number direction of a routing case shall be interpreted as all AAL type 2 Service Endpoint Addresses
+starting with the string of numbers defined in the number direction. For example, the AAL2 End System
+Addresses "12340" and "123400" will both match the number direction "1234".
+
+The AAL2 routing function uses a longest match comparison to select between routing cases with overlapping
+number directions. For example, given two routing cases A with the number direction "1234" and B with the number
+direction "12345" the AAL2 End System Address "12345" will be routed using routing case B, whereas the AAL
+type 2 Service Endpoint Addresses "12344" and "12346" will be routed using routing case A.
+
+NOTE: It is not allowed to use leading zeros when configuring this attribute.
+
+NOTE: It is not possible to replace an existing routing case by creating routing cases with number directions that
+completely overlap the number direction of the existing routing case.
+
+NOTE: It is not possible to create two routing cases with the exact same number directions in a node.
+
+NOTE: It is possible to create one or more routing cases with number directions that partially overlap the number
+direction of an existing routing case.
+
+Length range 1..15
+Alphabet: "0123456789"
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>15</max>
+ </lengthRange>
+ </string>
+ </dataType>
+ </attribute>
+ <action name="addAal2ApToRc">
+ <description>Adds the identified (and existing) Aal2Ap MO to the routeList. This action should also be used when changing the priority for an existing Aal2Ap MO. When submitting an existing Aal2Ap MO with a new priority the routing list will be updated with the new priority for the specified Aal2Ap MO.
+
+Note! In order to be able to update the priority for a routing entry, the routing entry first has to be deleted and then submitted with the new priority.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="priority">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="route">
+ <in/>
+ <dataType>
+ <moRef name="Aal2Ap"/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidPriorityException"/>
+ <raisesException name="IllegalArgumentTypeException"/>
+ <raisesException name="IllegalAttributeValueException"/>
+ </action>
+ <action name="removeAal2ApFromRc">
+ <description>Removes the identified Aal2Ap MO from the routeList.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="route">
+ <in/>
+ <dataType>
+ <moRef name="Aal2Ap"/>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalAttributeValueException"/>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ </class>
+
+ <class name="Aal2Sp">
+ <description>The Aal2Sp (AAL2 Signalling Point) MO is used to represent the AAL type 2 Service Endpoint Address of the node in the AAL2 network.
+There is one and only one instance of this MO in the ATM NE system.</description>
+ <attribute name="userLabel">
+ <description>For free use</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="a2ea">
+ <description>An E.164 format number that specifies the AAL type 2 Service Endpoint Address of the node.
+
+Length Range: 1..15
+Alphabet: "0123456789"
+
+Note! It is not allowed to use leading zeros when configuring this attribute.</description>
+ <mandatory/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>1</min> <max>15</max>
+ </lengthRange>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Aal2SpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnsuccessfulConnsInternal">
+ <description>Performance monitoring counter for the number of unsuccessful attempts to establish connections due to node internal problems.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2Ap">
+ <description>This MO represents a AAL2 Access Point signalling function associated with a single AAL2 signalling relationship to a remote peer AAL2 acess point signalling function. An AAL2 access point is either a high rate access point that uses MTP-3B for signalling or a low rate access point that uses UNI-SAAL for signalling.
+
+Aal2Ap - Aal2 Access Point
+
+Note! The performance monitoring counters in the AalAp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="Aal2ApId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Free for use</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>This attribute holds a list of the reserving MOs.
+</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of the AAL2 AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the Aal2Ap.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="timerErq">
+ <description>Q.2630.2 establishment request timer. Unit is milli seconds.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>5000</min> <max>30000</max>
+ </range>
+ <defaultValue>5000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="timerRel">
+ <description>Q.2630.2 release request timer. Unit is milli seconds.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>2000</min> <max>60000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sigLinkId">
+ <description>Reference to a UniSaalTp or Mtp3bAp MO that provides a signalling link for the Aal2Ap.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="secondarySigLinkId">
+ <description>Reference to a secondary signalling link MO of type UniSaalTp. This attribute can only be set when sigLinkId is of type UniSaalTp.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>The physical location of an AP (Access Point) LR/HR (Low Rate/ High Rate) program represented by a reference to a RPU (ReliableProgramUniter) MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal2QoSCodePointProfileId">
+ <description>The identity of the QoSCodePointProfile used by this MO.</description>
+ <dataType>
+ <moRef name="Aal2QosCodePointProfile"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmExisOrigConns">
+ <description>Performance monitoring counter pmExisOrigConns.
+Number of existing connections for the AP originating in this node.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nrOfConfiguredAal2Paths">
+ <description>The total number of Aal2PathVccTp MOs configured on this Aal2 Ap.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nrOfUnavailableAal2Paths">
+ <description>The total number of unavailable Aal2PathVccTp's on this Aal2 Ap, i.e. the number of Aal2PathVccTp MOs connectected to this Aal2Ap with 'administrativeState' LOCKED.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmExisTermConns">
+ <description>Performance monitoring counter pmExisTermConns.
+Number of existing connections for the AP terminating in this node.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmExisTransConns">
+ <description>Performance monitoring counter pmExisTransConns.
+Number of existing connections for the AP transiting in this node.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSuccOutConnsRemote">
+ <description>Performance monitoring counter pmSuccOutConnsRemote.
+Number of successful establishment of outgoing connections on this AP</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSuccInConnsRemote">
+ <description>Performance monitoring counter pmSuccInConnsRemote.
+Number of successful establishment of incoming connections on this AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccInConnsRemote">
+ <description>Performance monitoring counter pmUnSuccInConnsRemote.
+
+Number of unsuccessful establishment of incoming connections on this AP caused by reject from beyond this node.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccOutConnsRemote">
+ <description>Performance monitoring counter pmUnSuccOutConnsRemote.
+
+Number of unsuccessful establishment of outgoing connections on this AP caused by reject from remote side, reset from remote side, no reply or signalling link failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccInConnsLocal">
+ <description>Performance monitoring counter pmUnSuccInConnsLocal.
+Number of unsuccessful attempts to allocate Common Part Sublayer, CPS, resources during establishment of incoming connections on this AP caused by Channel Identifier, CID, and/or bandwidth collision or mismatch of Call Admission Control, CAC, between peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnSuccOutConnsLocal">
+ <description>Performance monitoring counter pmUnSuccOutConnsLocal.
+
+Number of unsuccessful attempts to allocate CPS resources during establishment of outgoing connections on this AP. Caused by rejects in CAC (Connections Admission Control).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnRecMessages">
+ <description>Performance monitoring counter pmUnRecMessages.
+
+Number of received unrecognized Q.2630.1 messages on this AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUnRecParams">
+ <description>Performance monitoring counter pmUnRecParams.
+
+Number of received Q.2630.1 messages with unrecognized parameters on this AP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etm1">
+ <description>This MO represents the hardware of the ET-M1 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-M1 board contains 8 physical ports that can be configured as either E1 (ETSI), J1 (TTC) or T1(ANSI). The board does not allow for a mix of E1, J1 and T1 ports, thus all configured ports on the board must be configured to the same standard.
+
+Each of the ports on the ET-M1 board can be used to carry either ATM traffic or circuit orientated (Nx64 Kbits/s connections) traffic.
+
+Each port can support up to 30 ATM VC connections. Two of these connections are reserved for AAL2 paths, and therefore two AAL2 multiplexers per port are available.
+
+Each port can support up to 2 VP connections.
+
+The ET-M1 board supports 1 bidirectional F4/F5 PM flow per port.
+
+Other restrictions:
+- All ports (configured for ATM) on the board must have the same value for the hecCorrection attribute (ATM Port MO).
+- It is possible to configure UBR+ traffic, but there is no guarantee on the minimum cell rate.
+- VP shaping is not supported (it is recommended to configure at most one VP per physical port).
+- VC shaping is not supported with an exception for AAL2 path traffic. This is shaped to the peak bandwidth on the VC connection.
+- When using the Etm1 board the performance monitoring counters in the transmit direction found on the AtmPort MO does not show the following cells: CC cells and OAM FPM cells.
+
+Note! IMA is not applicable for the ETM1 board.
+
+Note! When using fractional atm, timeslot 1 must be a part of the fraction.
+
+Note! ETM1 does not support EPD and PPD
+
+Note! ETM1 does not have a proper buffer management. Thus fairness of UBR+ traffic can not be guaranteed and shaping on UBR+ traffic is not possible.
+
+Note! The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 1 per port.
+</description>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the Etm1 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etm1Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="TuSyncRef">
+ <description>This MO is used to administer synchronization reference (a synchronization input with support for 2MHz and 10MHz signals) on Timing Unit board.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of TU synchronization reference</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>Administrative state of TU synchronization reference</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the TuSyncRef.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>When the TU synchronization reference is registered in the system as an usable synchronization reference, this MO reference is set by using "local" operation syncReserve(). When synchronization reference is de registered, "local" operation syncRelease is used. Note that the only valid user of these operations is Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="TuSyncRefId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Spm">
+ <description>The Special purpose Processor Module MO, Spm, represents one of the Special Purpose Processors and its connected hardware. This object is used for SW management and restart. Hardware failure indications are sent to Spu.
+
+Note! If the board is of type SPB1 the maximum number of Spm MO's that is possible to create under the Spu MO is 3.
+If the board is of type SPB2 the maximum number of Spm MO's that is possible to create under the Spu MO is 5.</description>
+ <attribute name="SpmId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SPM</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber">
+ <description>Switch Module Number</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="asciPortNumber">
+ <description>ASCI Port Number</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="executionResourceNumber">
+ <description>The identity of the SPM on the Special purpose Processor Unit (SPU).
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="runningResourceIdList">
+ <description>The list of identities of running Spm's
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="installedResourceIdList">
+ <description>The list of identities of installed Spm's in the system.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="spLinkName">
+ <description>The uniqe name of the link between the SP and BP.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Restarts the SP.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Spu">
+ <description>The Special purpose Processor Unit MO, Spu, represents the board specific HW on SPB. This objects collects HW failure indications on the board and issues/ceases alarms.</description>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SPB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="spLinkName">
+ <description>The special purpose processor link name.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SpuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="restart">
+ <description>Restarts all SPs on the board (but not the BP).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="AtmCrossConnection">
+ <description>This MO is used to represent the point-to-point ATM cross-connect relationship between two VCL termination points.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state for the cross connection.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpAId">
+ <description>Identifies the VclTp managed object involved in the A side of the cross connection (which consists of an A and B side).
+
+Must not be the same as vclTpBId.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpBId">
+ <description>Identifies the VclTp managed object involved in the B side of the cross connection (which consists of an A and B side).
+
+Must not be the same as vclTpAId.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="AtmCrossConnectionId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ConfigurationVersion">
+ <description>The Configuration Version, CV, is an MO managing all on disk saved node configuration. This MO keeps all administrative data about the CV's including path and file-names of the configuration files. There may be more than one CV on the disk but only one is used at the time. A CV is always based on one Upgrade Package.
+
+The local distinguished name of this MO is ManagedElement=1, SwManagement=1, ConfigurationVersion=1.</description>
+ <systemCreated/>
+ <attribute name="ConfigurationVersionId">
+ <description>Configuration version MO's id.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="storedConfigurationVersions">
+ <description>List of stored configuration versions in the node.
+
+Stored in and read from the file system.
+
+List of stored configuration versions (CV) in the node.
+
+The list is a sequence of CVs.
+Each CV is presented as structure. A CV structure has following fields:
+ 1. Name
+ 2. Identity
+ 3. Type
+ 4. UpgradePackageId
+ 5. Operator name
+ 6. Comment
+ 7. Date
+ 8. Status
+
+The CV list is formatted as a sequence of ConfigurationVersionAttributes.
+Each struct contains one CV.
+
+The following characters are allowed within the struct members below.
+
+[0-9], [A-Z], [a-z] and '&amp;' , '%' , ':' , '.' , '_' , '-'
+
+Note! For comment and operatorName spaces (' ') are also allowed within the strings.
+
+Struct element description :
+-Name is in string format, max length is 40.
+-Identity is in string format, max length is 40.
+-Type is in string format, max length is 40.
+-UpgradePackageId is in string format, max length is 40.
+-Operator name is in string format, max length is 40.
+-Comment is in string format, max length is 40.
+-Date is in string format, max length is 40. Format for date is: weekday month date hour:min:seconds year.
+-Status is in string format, max length is 40.
+
+Note! The identity can not be the same as name. Identity should contain the product identity.
+
+
+</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <structRef name="ConfigurationVersionAttributes"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="currentLoadedConfigurationVersion">
+ <description>The name of the current loaded configuration version.
+
+Stored in and read from the file system.
+
+This is the configuration version that the system started with, i.e. the configuration version that was startable at the last node restart. </description>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="startableConfigurationVersion">
+ <description>The name of the configuration version that will be used at next node restart.
+
+Stored in and read from the file system.
+
+This attribute is set by the action "setStartable( name of cv)".
+Also set at rollback by the system. The first CV in the rollback list is then used.</description>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackList">
+ <description>A list of configuration versions to rollback to (configuration version name).
+
+The configuration version on the first line is used at the next rollback. That line is then automatically removed from the rollback list.
+
+This attribute is modified by actions setFirstRollbackList() and removeFromRollbackList()</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="currentUpgradePackage">
+ <description>A reference to current executing upgrade package.</description>
+ <readOnly/>
+ <dataType>
+ <moRef name="UpgradePackage"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoCreatedCVIsTurnedOn">
+ <description>Flag that indicates that a configuration version will be created automatically once a day.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="timeForAutoCreatedCV">
+ <description>Time for the automatic creation of configuration versions once a day.
+
+The string must be specified as "HH:MM" to be accepted at set.
+
+See also Class Attribute autoCreatedCVIsTurnedOn.
+The time is UTC time.
+
+Note! If changing the clock on the node, this attribute must be set or
+the JVM MP must be reastarted.
+
+
+</description>
+ <dataType>
+ <string>
+ <defaultValue>"04:00"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackOn">
+ <description>Flag that indicates if rollback is enabled or not.
+When true the system will automatically set the rollback counter. In case of cyclic restarts a rollback will be issued after x restarts. x is defined by the rollbackInitCounterValue.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackInitTimerValue">
+ <description>Used by the system when rollback is switched on (autoRollbackOn=true). After the given time the node is considered not in a cyclic restart mode. The rollback counter will then be reset to its initial value (rollbackInitCounterValue).
+
+Timer value in minutes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>10000</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rollbackInitCounterValue">
+ <description>Initial value for the rollback counter. Used when rollback is switched on (autoRollbackOn=true).
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>10000</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="commandlogStatus">
+ <description>An attribute to indicate status of command log.
+It can be ON/OFF(true/false). </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="executingCv">
+ <description>The name of the executing Configuration Version, CV.
+
+Same as 'currentLoadedConfigurationVersion' after a node restart, changed to the value of lastCreatedCv when a CV is created.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="lastCreatedCv">
+ <description>The name of the last created Configuration Version, CV.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <action name="setStartable">
+ <description>The CV given will be the one used at the normal node restarts. Only A CV with status = OK can be set as startable.
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="create">
+ <description>A new CV directory will be created. A DB backup from the current RAM CV,
+an ARMAMENT file and the file LLP:LMid is created at the directory. The current
+command log file is copied to the CV directory first, then it removes the file from
+the current directory.
+
+Note: The file cmdlog.properties under /c/ will not be saved to the CV.
+
+The following characters are allowed in the Strings:
+
+[0-9], [A-Z], [a-z] and '&amp;' , '%' , ':' , '.' , '_' , '-'
+
+Note! For comment and operatorName spaces (' ') are also allowed within the strings
+
+-configurationVersionName is in string format, max length is 40 characters.
+-identity is in string format, max length is 40 characters.
+-operatorName is in string format, max length is 40 characters.
+-comment is in string format, max length is 40 characters.
+
+This action does not require a transaction.
+
+Note! The configurationVersionName and identity can not be the same. The identity should be the product identity.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <description>One ASCII text string. It must be possible to create a directory with string. Do not use string "autocreated1" and "autocreated2" as cv name. They are used by the system.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="identity">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="type">
+ <description>Type of the configuration version [ standard | test | other ].</description>
+ <in/>
+ <dataType>
+ <enumRef name="ConfigurationVersionType">
+ <defaultValue>other</defaultValue>
+ </enumRef>
+ </dataType>
+ </parameter>
+ <parameter name="operatorName">
+ <description>The operator's name.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="comment">
+ <description>The operator's comment.</description>
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <raisesException name="ValueOutOfRangeException"/>
+ </action>
+ <action name="delete">
+ <description>Delete a configuration version (CV) permanently.
+
+If CV is referenced in the startable or in the rollback list, the action is not performed (an exception is thrown instead).
+
+Note! The latest created CV is not deletable until a node restart is performed or a new CV is created. Also note that a CV is not possible to delete when it has one of the folowing properties:
+- "loaded"
+- "startable"
+- The CV is found in the "rollbacklist"
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="removeFromRollbackList">
+ <description>Removes a Configuration Version (CV) from the rollback list.
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="setFirstRollbackList">
+ <description>Adds a Configuration Version (CV) first in the rollback list. This will cause the node to chose this CV at the next rollback. A CV with status NOK, can't be put in the rollback list.
+
+This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="configurationVersionName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="activateCommandLog">
+ <description>This action activates the log.
+
+The inparameter is the drive name (where the command log file should be stored).
+
+Default command log is drive /c/.
+
+Note! Invoking this action affects the attribute'commandLogStatus'.
+
+Note! This action does not require a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="cmdlogdriveName">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidDriveNameException"/>
+ <raisesException name="LogActivationException"/>
+ </action>
+ <action name="deactivateCommandLog">
+ <description>This action deactivates the logging of configuration commands.
+
+Please note that uncommited data will be lost.
+
+Note! Invoking this action affects the attribute'commandLogStatus'.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="LogActivationException"/>
+ </action>
+ </class>
+
+ <class name="SwManagement">
+ <description>This MO is used as a structural element for the SW managed object. The MO structures the software resources of the node.
+
+Note that the SwManagement MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, SwManagement=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SwManagementId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="normalizeRPU">
+ <description>This action will normalize all RPUs in the node.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="UpgradePackage">
+ <description>The UpgradePackage, UP, represents an upgrade package as stored in the node file system and as stored on the ftp server that it is/shall be fetched from.
+
+There may be more than one UP at the same time on the disk. One UP represents the running system issue in the node. That one is pointed out by the Configuration Version MO.
+
+The actions on an UpgradePackage MO, are actions that can be called to take that particular UpgradePackage into operation on the node.
+
+The deletion of the Upgrade Package on disk is performed when the associated Upgrade Package MO is deleted. The Upgrade Package's unique LoadModule MOs and files are deleted. ConfigurationVersions in otherReferringCVs are deleted.
+
+
+The UP MO refers to all load modules used in the UP. One load module may by used in more than one UP.
+
+Note at the start-up of the system/database/loading of a Configuration Version, special Upgrade Package MOs are created to represent Upgrade Packages that are stored on the disk but do not have an MO in the current Configuration Version. These special Upgrade Package MOs have are in the "onlyDeletable" state. In this state, the MO will throw ActionNotAllowedException on all its actions!
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.</description>
+ <attribute name="UpgradePackageId">
+ <description>The identity of the MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free of use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="loadModuleList">
+ <description>List of references to load modules that belong to this upgrade package.
+
+The list will be null until a successful install has been performed.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="LoadModule"/>
+ <nonUnique/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="upgradeControlFilePath">
+ <description>Path to the .xml file on the node once it has been fetched from the FTP server.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="state">
+ <description>Contains the state of this upgrade package.
+
+The management system and/or operator need to act on some changes in the state attribute.
+
+This attribute is used to accept/reject and modify behavior of actions. For example action 'upgrade' is not allowed in state notInstalled. Furthermore, action 'upgrade' does not behave the same if state == 'Installed' compared to if state == 'executingUpgrade' or 'awaitingConfirm'.
+
+To continue and conclude the upgrade sequence when in state == 'awaitingConfirm', action 'confirmUpgrade()' must be called. In this state it is also advisable to check attribute 'confirmationDeadline' to see how much time it is left before automatic rollback is done.
+
+Upgrade Package MOs that are stored on disk but do not have an MO in the current Configuration Version are in state "onlyDeletable". An MO in this state will throw the exception ActionNotAllowedException on all its actions.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <enumRef name="UpgradePackageState">
+ <defaultValue>NOT_INSTALLED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="progressHeader">
+ <description>Header enum describing the current progress indication.
+Note that this is only progress information, that should be shown to a human operator, because some operations may take time.
+
+Changes in the progress header NEVER entails that the operator or management system need to perform any particular actions. </description>
+ <readOnly/>
+ <dataType>
+ <enumRef name="UpgradeProgressInformation">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="progressTotal">
+ <description>
+This notification attribute is used to indicate progress for different steps in the upgrade sequence. The value gives the total number of steps that is to be performed in current step.
+
+This attribute is changed whenever 'progressHeader' is changed and indicates a maximum count for the progress of the progress counter. What items that are counted is specific for each case. For some cases, the counter is not yet implemented. In these cases, the progressTotal is set to zero (0) when the progressHeader is set.
+
+</description>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="progressCount">
+ <description>This attribute is used to indicate progress for different steps in the upgrade sequence. The value is separated in increments from 0 to progressTotal.
+
+The value of progressCount never exceeds the value of 'progressTotal'.
+
+For some cases, the counter is not yet implemented. In these cases, the progressCount is set to zero (0) when the progressHeader is set.</description>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeData">
+ <description>Contains product data for this upgrade package. This information is extracted from the upgrade control file. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="confirmationDeadline">
+ <description>This attribute contains the deadline date and time for an operator confirmation. If the deadline is not met, then an automatic rollback to a previous configuration is issued by the system.
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ftpServerIpAddress">
+ <description>Ip address to the ftp server where the upgrade package is stored.
+
+The input format used by the operator is four fields of digits, separated by a dot.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="upFilePathOnFtpServer">
+ <description>File path on the FTP server to the upgrade control file. </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="user">
+ <description>User id. to be used for logging in to the FTP server.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>anonymous</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="password">
+ <description>Password to the FTP server. This attribute is only supplied at create and is not readable.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>anonymous</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="upgradePackageDocumentId">
+ <description>The document number and revision of the uppgrade package where the white spaces has been removed and underscore between the document number and revision has been inserted e.g. 19089-CSX10901/1_A.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="deletePreventingCVs">
+ <description>The list containing the names of the Configuration Versions that stop the Upgrade Package MO from being deleted.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="otherReferringCVs">
+ <description>The list of names of Configuration Versions that refer to the Upgarde Package MO, but do not affect the deletable status of the Upgrade Package MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="isDeletable">
+ <description>Indicates if the Upgrade Package MO can be deleted.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="basedOnUpgradePackages">
+ <description>This attribute is only valid for Upgrade Packages of type Delta.It contains the merge history of this upgrade package.
+
+Example: [Product Data for normal UP (non Delta UP), Product Data for Delta UP1, Product Data for Delta UP2]. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <structRef name="AdminProductData"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="upCompatibilityIndex">
+ <description>This attribute states a compatibility index.
+
+If specified, it may be used when verifying that an upgrade 'from' state is valid for this Upgrade Package.
+
+Note: It is only used when the Upgrade Control File element upgrade window contains this type of information.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="cppUpVersion">
+ <description>This attribute states the CPP upgrade package version of this Upgrade Package</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="actionResult">
+ <description>The attribute contains the result from the latest four invoked actions.
+
+This attribute is only valid for actions::
+- install (all variants)
+- cancelInstall
+- verifyUpgrade
+- upgrade (all variants, Note that the attribute is only valid when the verification phase discovers that upgrade is not possible').</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <structRef name="ActionResultData"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="install">
+ <description>Load modules defined in the Upgrade Package Control file will only be downloaded to the node if the Load Module MO representing the load module file doesn't already exist.
+The result of this action is indicated in the attribute ActionResult. In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'.Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action will be removed in a later version.
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="FtpServerNotAccessibleException"/>
+ <raisesException name="GetFileException"/>
+ <raisesException name="IpAddressException"/>
+ <raisesException name="UpgradeControlFileException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="verifyUpgrade">
+ <description>Verifies that an upgrade is possible.
+
+The operation is not blocked while the verification is executing i.e. after basic controls the operation initiates the verification and returns 'void'.
+
+The progress of this action is indicated in the attribute ProgressHeader.The result of this action is indicated in the attribute ActionResult.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note: This action does not require a transaction.
+
+
+
+</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="VerifyUpgradeException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="upgrade">
+ <description>Upgrades the node. The operation is not blocked while upgrade is executing i.e. after basic controls the operation initiates the upgrade and returns 'void'.
+
+Before the real upgrade is started a verification phase is executed.
+
+The progress of this action is indicated in the attribute ProgressHeader.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+The result of this action is only indicated in attribute ActionResult in case the verification phase discovers that upgrade is not possible.
+
+Note: This action does not require a transaction.
+
+</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="UpgradeNotPossibleException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="rebootNodeUpgrade">
+ <description>The same as upgrade except that the hard upgrade sequence is always chosen.
+
+It could be helpful to use this method if the application has start- or upgrade- synchronization problems when using a soft upgrade sequence.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+The result of this action is only indicated in attribute ActionResult in case the verification phase discovers that upgrade is not possible.
+
+Note: This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="UpgradeNotPossibleException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="confirmUpgrade">
+ <description>The operator invokes this method when the trial period is over.
+The operation is not blocked while upgrade is executing i.e. after basic controls the operation initiates the upgrade and returns 'void'.In case the upgrade fails after 'void' has been returned the attribute ProgressHeader will be set to 'UpgradeExecutionFailed'.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="UpgradeNotPossibleException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="cancelUpgrade">
+ <description>Cancels an executing upgrade or an upgrade that is awaiting confirm.
+
+Note: This action performs a node restart so that the CV pointed out in the ConfigurationVersion MO is rolled back.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="forcedInstall">
+ <description>All load modules defined in the Upgrade Package Control file will be downloaded to the node even if the Load Module MO representing the load module already exists i.e. existing load module files are replaced.
+The result of this action is indicated in the attribute ActionResult.In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'.Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action will be removed in a later version.
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="FtpServerNotAccessibleException"/>
+ <raisesException name="GetFileException"/>
+ <raisesException name="IpAddressException"/>
+ <raisesException name="UpgradeControlFileException"/>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingInstall">
+ <description>Load modules defined in the Upgrade Package Control file will only be downloaded to the node if the Load Module MO representing the load module doesn't already exist.
+
+This action is non-blocking i.e. the action initiates the installation and returns 'void' immediately without waiting for the installation to be completed.
+
+In case the installation fails after 'void' has been returned, this will be indicated by change of the value of attribute 'ProgressHeader' to 'ExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction.
+</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingForcedInstall">
+ <description>All load modules defined in the Upgrade Package Control file will be downloaded to the node even if the Load Module MO representing the load module already exists i.e. existing load module files are replaced.
+This action is non-blocking i.e. the action initiates the installation and returns 'void' immediately without waiting for the installation to be completed.
+The result of this action is indicated in the attribute ActionResult.In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingSelectiveForcedInstall">
+ <description>This action only installs the load modules that are required in this node i.e. the load modules required after successful execution of action upgrade on this upgrade package.
+
+A load module is considered to be required if one of the following criteria is fulfilled:
+a) The load module is included in a Repertoire MO where the Repertoire MO is connected to a SwAllocation MO and the SwAllocation MO is connected to a Slot MO, a Fan MO, a Jvm MO, a Webserver MO or an applicable type of application MO.
+b) The load module is of type 'other'.
+
+All required load modules will be downloaded to the node even if the Load Module MO representing the load module already exists i.e. existing load module files are replaced.
+
+This operation is non-blocking i.e. the action initiates the installation and returns "void" immediately without waiting for the installation to be completed.
+The result of this action is indicated in the attribute ActionResult.In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.
+
+Note. The behaviour described for this action is also obtained for the other installation actions if the Upgrade Control File indicates that the upgrade package is of type selective (which is recommended).
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="nonBlockingSelectiveInstall">
+ <description>This action only installs the load modules defined in the Upgrade Package Control file that are required in this node i.e. the load modules required after successful execution of action upgrade on this upgrade package.
+
+A load module is considered to be required if one of the following criteria is fulfilled:
+a) The load module is included in a Repertoire MO where the Repertoire MO is connected to a SwAllocation MO and the SwAllocation MO is connected to a Slot MO, a Fan MO, a Jvm MO, a Webserver MO or an applicable type of application MO.
+b) The load module is of type 'other'.
+
+Returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute
+actionResult.
+
+The required load modules will only be downloaded to the node if the Load Module MO representing the load module doesn't already exist.
+
+This action is non-blocking i.e. the action initiates the installation and returns 'void' immediately without waiting for the installation to be completed.
+
+The result of this action is indicated in the attribute ActionResult. In case the installation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'InstallationExecutionFailed'. Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at installation failure.long
+
+Note. The behaviour described for this action is also obtained for the other installation actions if the Upgrade Control File indicates that the upgrade package is of type selective (which is recommended).
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ <raisesException name="InstallException"/>
+ </action>
+ <action name="cancelInstall">
+ <description>This action interrupts an ongoing installation of an upgrade package.
+
+Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at execution of this action.
+
+This action is non-blocking i.e. the action initiates the cancel of the installation and returns 'void' immediately without waiting for the cancellation to be completed.
+
+During execution of this action the value of attribute 'ProgressHeader' is set to 'CancelOfInstallationIsExecuting'.
+
+In case the cancellation fails after 'void' has been returned this will be indicated by change of the value of attribute 'ProgressHeader' to 'CancelOfInstallationFailed'.
+
+Note! This action does not require a transaction.
+
+This action interrupts an ongoing installation of an upgrade package.
+Already downloaded load modules (the downloaded file and the Load Module MO representing it) are not removed at execution of this action.
+This action is non-blocking i.e. the action initiates the cancel of the installation and returns 'void' immediately without waiting for the cancellation to be completed.
+During execution of this action the value of attribute 'ProgressHeader' is set to 'CancelOfInstallationIsExecuting'.The result of this action is indicated in the attribute ActionResult.In case the cancellation fails after 'void' has been returned the attribute 'ProgressHeader' is set to 'CancelOfInstallationFailed'.
+
+This action returns an actionID (created by the server). This actionID shall be used to locate the result of the action in attribute actionResult.
+
+Note! This action does not require a transaction. </description>
+ <returnType>
+ <long/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ <action name="clearUpgradeLogFile">
+ <description>Is used to clear the contents of current upgrade log file.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="UpgradeLogFileNotInUseException"/>
+ <raisesException name="UpgradeLogFileNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Repertoire">
+ <description>The Repertoire is an abstraction of a functionality represented by a plug in unit type (PiuType MO) and one or more load modules (LoadModule MO). The repertoire also provides rules for PiuType/ Load Module combinations valid for a specific function where one function can be provided by one or many PiuType-Load Module combinations.
+These rules are used to load the correct software (Load Modules) to a PlugInUnit. This could be used as operator configuration support and/or in situations where automatic re-configuration is required (hot repair).
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade is recommended to create them.</description>
+ <attribute name="userLabel">
+ <description>A user friendly name associated to the managed object. </description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="name">
+ <description>A function friendly name associated to the repertoire. The value must be unique with respect to all repertoires with the same install state.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="info">
+ <description>Information regarding the function.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="piuTypeList">
+ <description>A list containing all PiuType references that has been added to this repertoire.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="PiuType"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="RepertoireId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving SwAllocation MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwAllocation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="OspfInterface">
+ <description>The OSPF Interface MO represents an interface that is used by the OSPF protocol to communicate with a neighbour node. By connecting an OSPF interface to a Link MO that Link is defined as a link to be used by OSPF.
+
+Each OspfInterface MO must always be connected to a OspfArea MO.
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ospfAreaRelated">
+ <description>The identity of the OspfArea connected to this OspfInterface. The default value is the default OspfArea MO.</description>
+ <dataType>
+ <moRef name="OspfArea"/>
+ </dataType>
+ </attribute>
+ <attribute name="lsaTransmissionInterval">
+ <description>The time, in seconds, between LSA re-transmissions over the interface - default value 5 seconds.</description>
+ <dataType>
+ <long>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="helloInterval">
+ <description>The time, in seconds, between sending OSPF Hello-packets over the interface. Default value 10 seconds.
+
+Note! Must be a positive value.</description>
+ <dataType>
+ <long>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routerDeadInterval">
+ <description>The time, in seconds, after which a neighbor router is declared dead when not heard from.
+</description>
+ <dataType>
+ <long>
+ <defaultValue>40</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="interfaceTransitDelay">
+ <description>Estimated delay, in seconds, for the transmission of a link state update package over the interface.
+</description>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="interfacePriority">
+ <description>The interface priority. Each router is configured with a priority configured from 0 - 255 where 0 is the lowest priority and 255 the highest.
+
+Note! Must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="relatedLink">
+ <description>Each OSPF interface is connected to one IP link.
+The relatedLink can be either an IpAtmLink or an EthernetLink MO
+reference.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="OspfInterfaceId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfIfEvents">
+ <description>Performance monitoring counter for the number of times this OSPF interface has changed its state or an error has occured.
+
+See RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Ospf">
+ <description>The Ospf MO represents an instance of the Open Shortest Path First (OSPF) routing protocol running in a Cello node.
+
+When the Ospf MO is created a default OspfArea MO is also created. This OspfArea has the areaId 000.000.000.000.
+
+The attribute range0 in the default OspfArea will contain the following values:
+advertise = false
+ipAddress = 000.000.000.000
+subnetMask = 000.000.000.000
+</description>
+ <attribute name="OspfId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ospfRouterId">
+ <description>The ospfRouterId attribute is in the form of an IP address.
+In order to able to set ospfRouterId the attribute
+administrativeState has to be set to locked. The ospfRouterId
+is uniquely identifying the router in the Autonomous System. By
+convention to ensure uniqueness, this should be configured to the
+value of one of the routers IP interface addresses.</description>
+ <mandatory/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="ospfASBdrRtrStatus">
+ <description>The ospfASBdrRtrStatus attribute controls, whether this router is configured as an Autonomous System border router (Routers connected to multiple areas are called area border routers). The attribute must be set to 'True' if the user wants to configure static routes, which should be distributed to other OSPF routes as external routes. Configuration of static routes is the same as adding and deleting static routes to the IpRoutingTable Mo.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MO.
+The operationalState can only be enabled when the IP MO is in workingMode routerMode.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="ospfAreaBdrRtrStatus">
+ <description>Area border router flag - indicates whether the router is acting as an area border router or not.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ipMo">
+ <description>The name of the Ip MO that the Ospf MO is to use</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ip"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. It is a bit mapped CORBA long, where one or more of the bits may be set. If none of the bits are set then the availability status is considered to be undefined.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfOriginateNewLsas">
+ <description>The number of new link-state advertisments that have been originated. This number is incremented each time the router originates a new LSA.
+
+For more detailed information, see RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfRxNewLsas">
+ <description>The number of link-state advertisments received determined to be new instantiations. This number does not include newer instantiations of self-originated link-state advertisments.
+
+For more detailed information, see RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="recoverTopoDb">
+ <description>Indicates whether the topology database is to be recovered from the database at restart.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="topoDbStoreInterv">
+ <description>The interval, in seconds, with which the topology database will be stored.</description>
+ <dataType>
+ <long>
+ <defaultValue>60</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="OspfArea">
+ <description>An OSPF autonomous system is divided into OSPF areas. The OSPF Area MO represents such OSPF areas.
+
+A default OspfArea MO is automatically created when the Ospf MO is created.
+It has the identity = 1, so LDN of this MO will be
+ManagedElement=1,IpSystem=1,Ospf=1,OspfArea=1.
+
+Note! A maximum of 4 OspfAreas can be configured each with a maximum of 8 interfaces.</description>
+ <attribute name="OspfAreaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="stubArea">
+ <description>Indicates whether the OSPF area is a stub area or not.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="importExternalLsa">
+ <description>Indicates whether importing Autonomous System external LSA's is supported.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="sendAreaSummary">
+ <description>Import Area summary - indicates whether the router will originate and propagate summary LSAs into a stub-area.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="stubAreaMetric">
+ <description>Cost for default route that the router advertises into the area if the area is a stub area and the router is an area border router. By default set to the least metric among the interfaces to other areas.</description>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="stubAreaMetricType">
+ <description>Stub Area metric type.
+
+RFC 1850 (OSPF V2 MIB):
+
+comparableCost (external type 1)
+nonComparable (external type 2)</description>
+ <dataType>
+ <enumRef name="OspfMetricType">
+ <defaultValue>comparableCost</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="areaLsaChecksum">
+ <description>Area Lsa checksum - 32-bit unsigned sum of the link-state advertisements LS check sums contained in this area's link-state database.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="areaId">
+ <description>The OSPF area identity in form of an IP address. This areId uniquely identifies the area.
+AreaId 0.0.0.0 is used for the OSPF backbone.
+Range: 000.000.000.000 to 255.255.255.255.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="range0">
+ <description>OspfArea IP address range zero (0).</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </attribute>
+ <attribute name="range1">
+ <description>OspfArea IP address range one (1).</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </attribute>
+ <attribute name="range2">
+ <description>OspfArea IP address range two (2).</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOspfSpfRuns">
+ <description>The number of times that the intra-area route table has been calculated using this area's link-state database. This is typically done using Dijkstra's algorithm.
+
+For more detailed information, see RFC 1850.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving OspfInterface MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="OspfInterface"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="addAreaRange">
+ <description>Add aggregation range. Used to add a range of IP addresses to an OSPF area.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="range">
+ <description>The area range aggregation.</description>
+ <in/>
+ <dataType>
+ <structRef name="OspfAreaRange"/>
+ </dataType>
+ </parameter>
+ <parameter name="rangeIndex">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="AttrMissingAtCreateException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="NoSuchFieldException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ <raisesException name="WrongAttributeTypeException"/>
+ </action>
+ <action name="deleteAreaRange">
+ <description>Delete an IP address range. Used to remove a range of IP addresses from an OSPF area.
+
+Input is the area aggregation range index.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="rangeIndex">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroNotAccessibleException"/>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ </action>
+ </class>
+
+ <class name="SwitchCoreUnit">
+ <description>The Switch Core Unit MO, SCU, represents the board specific HW on the SCB. This object collects HW failure on the board and sends alarms. It is also used for configuration of board specific FW.
+This board can only be fitted in slot 1 and 28 in a standards subrack.
+The board has a number of switch internal link ports.</description>
+ <notificationTypes>alarm</notificationTypes>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSili">
+ <description>The administrative state of the switch internal link ports on the switch board. The attribute refers to all ports (locking will lock all ports, unlocking unlock all ports).
+SILI -switch internal link interface.
+</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSil">
+ <description>Operational state indicates the the traffic handling capability of all ports as a group on the board, i.e it is enabled if one or more of the eight ports is enabled. The purpose of this attribute is only for test.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSync">
+ <description>Operational State for network synchronization distribution.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSil">
+ <description>The availability status of the switch internal link (SIL) ports..
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSync">
+ <description>Availability state for network synchronization distribution.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchCoreUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>A list of the reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="silPorts">
+ <description>The attribute provides a list of port numbers.
+The port number is between 0-7 and is used to read state of the switch internal port.
+See action getSilPortState, getOperState and getAvailState.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="getSilPortState">
+ <description>Returns status of the switch internal link port, which is the same as the LEDs on the unit.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="SilPortState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilOperState">
+ <description>Returns operational status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="OperState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilAvailState">
+ <description>Returns availability status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="GeneralProcessorUnit">
+ <description>The General purpose Processor Unit MO, GPU, represents the board specific HW on the GPB; hard disk, ethernet and serial port etc.
+
+Note! The 'availabilityStatus' for the GeneralProcessorUnit MO is retreived from the PlugInUnit MO.</description>
+ <attribute name="GeneralProcessorUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Indicates if the function provided by the managed object is capable of performing its normal functions or not.
+
+Note! The value for operationalState is retrived from the GeneralProcessorUnit's parent, i.e. the PlugInUnit.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeDSize">
+ <description>The total size in bytes of the D volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeDFreespace">
+ <description>The amount in bytes of freespace available on the D volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumePSize">
+ <description>The total size in bytes of the P volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumePFreespace">
+ <description>The amount in bytes of freespace available on the P volume.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds the identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Jvm">
+ <description>The JVM MO is used to represent the Java Virtual Machine. This object is used for configuration JVM parameters, including SW management of Java class libraries used in the machine.
+
+The local distinguished name of this MO is ManagedElement=1, Equipment=1, JVM=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="classpath">
+ <description>Current classpath, the JVM is using</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="admClasspath">
+ <description>New classpath that will be activated at next restart of JVM. The classpath has a directory, which contains the load module, i.e. the classpath references the load module.</description>
+ <dataType>
+ <sequence>
+ <moRef name="LoadModule"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="freeMemory">
+ <description>Free memory in the java machine, in bytes.
+
+NOTE: Before this value is read from the system the action 'garbageCollect' is invoked.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="totalMemory">
+ <description>Total amount of memory allocated to the java machine, in bytes.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="mopplets">
+ <description>Contains all classes that are to be initilised and started when the JVM restarts.
+
+Technical note: The specified classes must implement the se.ericsson.cello.moframework.Mopplet interface and be found in a jar/zip-file pointed out by the classpath.
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="main">
+ <description>Defines the start class name.
+e.g. "se.ericsson.cello.oms.Oms"</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="options">
+ <description>Current options:
+ -D&lt;property&gt;=&lt;value&gt; Set a system property value. Commonly java.class.path
+-Xms&lt;size&gt; Specify the size, in bytes, of the memory allocation pool.
+-Xss&lt;size&gt; Set thread stack size in bytes.
+-Xverify:[all | remote | none] Verify classes according to the suboption.
+ -Xverify:all verifies all classes.
+ -Xverify:remote verifies remote classes only.
+ -Xverify:none turns off class verification.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="JvmId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="properties">
+ <description>Determines the current system properties.
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="reliableProgramUniter">
+ <description>A reference to the ReliableProgramUniter that refers to the programs in the which the JVM executes.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="admMain">
+ <description>New start class that will be activated at next restart of the JVM.
+e.g. "se.ericsson.cello.oms.Oms"</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="admOptions">
+ <description>This attribute is used when setting one or more administrative options.
+
+A set option is operational after the next Java Machine restart.
+
+See also attribute 'options'
+
+One or more options can be set, one option in each string of the
+sequence according to description below:
+
+Current options:
+ -D&lt;property&gt;=&lt;value&gt; Set a system property value. Commonly java.class.path
+-Xms&lt;size&gt; Specify the size, in bytes, of the memory allocation pool.
+-Xss&lt;size&gt; Set thread stack size in bytes.
+-Xverify:[all | remote | none] Verify classes according to the suboption.
+ -Xverify:all verifies all classes.
+ -Xverify:remote verifies remote classes only.
+ -Xverify:none turns off class verification.</description>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySwAllocation">
+ <description>This attribute holds the identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwAllocation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="garbageCollect">
+ <description>Runs the garbage collector.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="setProperty">
+ <description>Sets a system property (i.e. propertys in the operating system).
+Note! The valeus set with this action is not saved in the database.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="name">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="value">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="restart">
+ <description>Restart of executing JVM instance.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="SwitchFabric">
+ <description>This MO represents the switch of a Cello node. It is used for general switch configuration.
+
+The system creates automatically one instance of this MO at restart.
+
+Today, only a maximum of 32 Switch Modules MOs and 2 SwitchInternalLinks MOs per InternalLinkGroup MOs are allowed in a Cello node. However, in theory the switch addressing space in the system allows up to 256 SwitchModules MOs. This would then mean there are 255 InternalLinkGroup MOs, each of which is allowed 256 SwitchInternalLink MOs by the addressing.
+
+The local distinguished name of this MO is ManagedElement=1, SwitchFabric=1.
+
+</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchFabricId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SwitchModule">
+ <description>This MO represents two switch planes of one sub-rack, i.e. either the main switch or an external switch.
+
+Note! In a non-redundant system the administrativeStateSwitchPlaneA/B has to be set to locked for the non-present plane. </description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber">
+ <description>Indicates the number of the switch module, SMN.
+
+switchModuleNumber &amp;gt;= 0
+
+The main switch module, with one or two TimingUnits, is given SMN = 0. SMN for extension switch modules depends on the port pair that the extension switch module is connected to in the main switch module.
+
+The SMN is calculated according to the following formula:
+
+Switch module number [1..255] = int (APN/2)*8 + ISL-port in main [1..8]
+
+APN - ASCC port number
+ISL - inter-switch link</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="secondSmnEvaluation">
+ <description>secondSmnEvaluation - There are two cables that
+are relevant for Switch Module Number (smn) numbering
+If the cabling is wrong secondSmnEvaluation
+will show the second opinion about smn.
+Under normal conditions secondSmnEvaluation = smn.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the switch module.
+
+Note! When setting the 'administrativeState' to shutting down a notification about that the state has changed to 'locked' will be sent towards the operator. There is however no guarantee that this operation has been succesful despite the notification and state change due to limitations in the resource layer
+</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSwitchPlaneA">
+ <description>The administrative state of switch plane A.
+It is not allowed to lock both switch plane A and B.
+
+Note! When setting the 'administrativeState' to shutting down a notification about that the state has changed to 'locked' will be sent towards the operator. There is however no guarantee that this operation has been succesful despite the notification and state change due to limitations in the resource layer</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSwitchPlaneB">
+ <description>The administrative state of switch plane B.
+It is not allowed to lock both switch plane A and B.
+
+Note! When setting the 'administrativeState' to shutting down a notification about that the state has changed to 'locked' will be sent towards the operator. There is however no guarantee that this operation has been succesful despite the notification and state change due to limitations in the resource layer</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Switch Module. At least one of switch plane is enabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSwitchPlaneA">
+ <description>The operational state of Switch Module plane A. The state is disabled if any switch ports is faulty. But switch may still be used.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSwitchPlaneB">
+ <description>The operational state of Switch Module plane B. The state is disabled if any switch ports is faulty. But switch may still be used.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the Switch Module.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSwitchPlaneA">
+ <description>The availability status of the Switch Plane A.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSwitchPlaneB">
+ <description>The availability status of the Switch Plane B.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="activeSwitchPlane">
+ <description>The active switch plane: A, B. During multiple faults, both plan A and B may be used.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="ActiveSwitchPlane">
+ <defaultValue>switchPlaneA</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchModuleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="InternalLinkGroup">
+ <description>The Internal Link Group MO represents all switch internal links connecting the main switch to an external switch.
+
+Switch internal links are automatically grouped in Reliable Groups for redundancy.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber1">
+ <description>Reference to the SwitchModule MO that is interconnected by the link in the InternalLinkGroup.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="SwitchModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="switchModuleNumber2">
+ <description>Reference to the SwitchModule MO that is interconnected by the link in the InternalLinkGroup.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="SwitchModule"/>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative status of internal link group.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational status of internal link group.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="InternalLinkGroupId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="getRlgLinks">
+ <description>Returns a list of resource instance identities of the switch internal links in the specified Reliable Group.
+
+The existing Reliable Groups are listed with action getReliableLinkGroups.
+
+Note! This action is transactional.</description>
+ <returnType>
+ <sequence>
+ <longlong/>
+ </sequence>
+ </returnType>
+ <parameter name="rlgNumber">
+ <description>Reliable link group number.</description>
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getLinkStatus">
+ <description>Returns the status of the specified switch internal link, within the specified Reliable Group.
+
+Note! This action is transactional.</description>
+ <returnType>
+ <enumRef name="SwitchReliableGroup">
+ </enumRef>
+ </returnType>
+ <parameter name="instanceId">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="rlgNumber">
+ <description>Reliable link group number.</description>
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getReliableLinkGroups">
+ <description>Returns a sequence of Reliable Group numbers.
+
+Each Reliable Group is identified by a unique number which can be used to read out the identities of the switch internal links that are allocated to the Reliable Group. This is done with action getRlgLinks.
+
+The allocation of switch internal links to Reliable Groups is automatic.
+
+Note! This action is transactional.
+</description>
+ <returnType>
+ <sequence>
+ <long/>
+ </sequence>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="SwitchInternalLink">
+ <description>This MO represents a link interconnecting the from either a SCB or SXB port on the main switch to a SCB on an external switch.
+
+The main switch is located in the hub subrack.
+
+The SCB is represented by the SwitchCoreUnit MO, while the SXB is represented by the SwitchExtensionUnit MO.
+
+Switch internal links are automatically grouped in Reliable Groups for redundancy.</description>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SwitchInternalLinkId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnit1">
+ <description>The reference of one connection point for a SwitchCoreUnit or SwitchExtensionUnit.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnit2">
+ <description>The reference of one connection point for a SwitchCoreUnit or SwitchExtensionUnit.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="siliport1">
+ <description>Switch internal port number on PlugInUnit1.
+
+Note!
+On a standard SCB/SXB board, the ports are labeled from the bottom to the top.
+
+Port nr -&gt; label:
+ '0' 'D'
+ '1' 'C'
+ '2' 'B'
+ '3' 'A'
+
+Also note that his mapping will change when adding/removing a board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="siliport2">
+ <description>Switch internal port number on PlugInUnit2.
+
+Note!
+On a standard SCB/SXB board, the ports are labeled from the bottom to the top.
+
+Port nr -&gt; label:
+ '0' 'D'
+ '1' 'C'
+ '2' 'B'
+ '3' 'A'
+
+Also note that his mapping will change when adding/removing a board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of switch internal link.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateTraffic">
+ <description>The operational state of switch internal link. There is no traffic if the link is disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusTraffic">
+ <description>The availabilityl status of switch internal link.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSync">
+ <description>The operational state of sync link. There is no clock distribution if the link is disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSync">
+ <description>The availability state of sync link.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etm4">
+ <description>This MO represents the hardware of the ET-M4 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-M4 board contains 2 physical ports that can be configured as either STM1(ETSI/TTC) or OC3C (ANSI). The board supports unchannelised ATM access (i.e. it maps ATMs cells directly into an SDH VC4 container).
+
+The board supports up to 1800 ATM VC connections.
+The number of terminated VPs are limited to 48/board.
+The board supports up to 750 VC UBR+ connections.
+
+The ET-M4 board contains 128 AAL2 multiplexers.
+The ET-M4 board supports up to 256 bidirectional F4/F5 PM flow per port.
+
+Note! IMA is not applicable for the ETM4 board.
+
+Note! The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 256 per board.
+
+Note! When using the Etm4 board the performance monitoring counters in the transmit direction found on the AtmPort MO does not show the following cells: CC cells and OAM FPM cells.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the hardware ETM4. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etm4Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="VpcTp">
+ <description>Virtual path connection termination point, VpcTp, is used to represent the point where the VPC and associated overhead (F4 OAM cells) are terminated/originated.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="VpcTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the VpcTp</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuity checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second. Continuity check both enables generation of CC cells as well as detection of LOC</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>PM mode is set to indicate type of performance monitoring mode (i.e. activation of bidirectional PM measurements).
+
+</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlkSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.
+</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for number of lost forward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="eteLoopBack">
+ <description>This action performs VC end to end loopback from this VpcTp.
+false=Failed, true=Succeded
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <boolean/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="VplTp">
+ <description>This MO is used to represent the termination of a VP link.
+
+Note that a maximum of 999 MO's of this type may be configured.
+
+Note! For information on how many VplTp's that can be configured under the AtmPort MO please refer to the board that is parent to the termination reserved by the AtmPort MO.
+
+The performance monitoring counters in the VplTp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="userLabel">
+ <description>This MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="atmTrafficDescriptor">
+ <description>Reference to the Atm Traffic Descriptor.</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="AtmTrafficDescriptor"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the VplTp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="externalVpi">
+ <description>This is the virtual path identifier for the external ATM cells.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmReceivedAtmCells">
+ <description>Performance monitoring counter for number of received ATM cells for the VplTp.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTransmittedAtmCells">
+ <description>Performance monitoring counter for number of transmitted ATM cells.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="VplTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="TimingUnit">
+ <description>This MO represents a timing unit (reference clock) in the node.
+
+The corresponding Program shall be of LoadModuleLoaderType, SpmFpgaLoader.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of TimingUnit</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the TimingUnit.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="TimingUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="PiuType">
+ <description>The PiuType is an MO that holds product information about a PlugInUnit and the execution resources of the PlugInUnit. It is created/deleted during a software upgrade.
+The PiuType is used when creating execution MOs connected to a PlugInUnit and when allocating software to this resource.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.
+
+
+</description>
+ <attribute name="userLabel">
+ <description>A user friendly name associated to the managed object. </description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="productData">
+ <description>The productData describes the product number, short product revision, product name and product information of the PlugInUnit. The production date is not used.
+
+Trailing letters in the revision is recommended to be excluded in the PiuType. Example: R2B will be R2 without trailing letter, R2B/3 must be R2B/3 in the PiuType, R2B/A should be R2 in the PiuType.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="AdminProductData"/>
+ </dataType>
+ </attribute>
+ <attribute name="boardWidth">
+ <description>The width of the PlugInUnit board in steps of 5 mm.
+A PlugInUnit can use/cover more than the slot that it occupies.
+
+Example: boardWidth=3 corresponds to 3*5 = 15 mm.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long/>
+ </dataType>
+ </attribute>
+ <attribute name="airFlowData">
+ <description>Control value m3/h for the fan unit. Needed by cooling function.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="AirFlowData"/>
+ </dataType>
+ </attribute>
+ <attribute name="PiuTypeId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="role">
+ <description>The value will be MP if a board has the role of a Main processor.
+The value will be BP if a board has the role of a device board
+Otherwise the value will be OTHERS.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="PiuRole">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity's of the reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="numberOfMoConfigurations">
+ <description>Returns the number of entries in the PIUTypeConfigurationArray.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ </action>
+ <action name="getMoConfiguration">
+ <description>Returns a struct of a specific MoConfiguration at a given index.
+The moConfiguration holds information about how to create the
+subordinate objects for a specific piu type.
+
+Precondition: 0 &lt;= index &lt;= numberOfMoConfigurations() - 1
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <structRef name="PiuTypeMOConfiguration"/>
+ </returnType>
+ <parameter name="index">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidIndexException"/>
+ </action>
+ </class>
+
+ <class name="SwAllocation">
+ <description>This MO is primarily used to handle data for automatic configuration of load modules. Automatic in the meaning that the system selects the appropriate load module and revision. A SWA may be related to a slot, indicating that a specific functionality is requested at this location. The SWA is manually created by the operator.
+
+The SWA object is related to (one or more) repertoires, which hold information on possible PIU-type/revisions and load module combinations.
+
+In some cases (e.g multi-purpose boards, boards with processor/FPGA-pools) it may not be possible to completely configure the PIU without instructions from the operator (e.g which load module runs on which processor/FPGA). In these cases a specialization of the SWA has to be designed in such a way that it can be manually configured.
+
+When a SwAllocation MO depends on a ManagedObject MO, this ManagedObject actually represents a RBS Fan.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade is recommended to create them.</description>
+ <attribute name="userLabel">
+ <description>Free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="role">
+ <description>The role of a slot/PIU. The role must be unique with respect to other SwAllocations in the node.
+
+This is a preparation to introduce predefined SwAllocation in future upgrade packages.</description>
+ <mandatory/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="repertoireList">
+ <description>A list of references to repertoires.</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Repertoire"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="slotList">
+ <description>A list of references to mainly slots in a subrack, e.g. Slot or Fan MO. It may also refer to other objects handling auxiliary units, e.g. RBS Fan.</description>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SwAllocationId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="addRepertoire">
+ <description>Adds the specifed repertoire to this list.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aRepertoire">
+ <in/>
+ <dataType>
+ <moRef name="Repertoire"/>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ <action name="deleteRepertoire">
+ <description>Removes the first occurrence of the specified repertoire from this list.
+
+Notw! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aRepertoire">
+ <in/>
+ <dataType>
+ <moRef name="Repertoire"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="addSlot">
+ <description>Adds the specified Slot to the slotList.
+The slot can only be of type Slot MO, Fan MO, WebServer MO, JVM MO or an applicable type of application MO (e.g. for "RBS Fans").
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aSlot">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ <action name="deleteSlot">
+ <description>Removes the first occurrence of the specified slot from this list.
+The slot can only be of type Slot MO, Fan MO, WebServer MO or an
+applicable type of application MO (e.g. for "RBS Fans").
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aSlot">
+ <in/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="deleteAllRepertoires">
+ <description>Removes all repertoires from this list and sets its size to zero.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deleteAllSlots">
+ <description>Removes all slots from this list and sets its size to zero.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="addSlots">
+ <description>Adds the specified Slot to the slotList.
+The slot can only be of type Slot MO, Fan MO, WebServer MO, JVM MO or an applicable type of application MO (e.g. for "RBS Fans").
+If the slot exists in the list, the object is ignored.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="managedObjects">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ <action name="addRepertoires">
+ <description>Adds the specifed repertoire to this list.
+
+If the repertoires exist in the list the repertoire is ignored.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="repertoire">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="Repertoire"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="IllegalArgumentTypeException"/>
+ </action>
+ </class>
+
+ <class name="MediumAccessUnit">
+ <description>The Medium Access Unit (MAU) MO represents the Ethernet transceiver function on a General Processor Board (GPB).
+
+Note! Ethernet links which are modelled by a MediumAccessUnit MO are used for O&amp;M IP traffic only. MediumAccessUnit MOs must not be configured under a GeneralProcessorUnit MO which have a IpAccessHostGpb referring to it.
+
+Note! This object is known in standards as "MediumAttachmentUnit".
+</description>
+ <attribute name="userLabel">
+ <description>Label for free use.
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Description of operational state which has an enumerated datatype</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="MediumAccessUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="connectorLabel">
+ <description>This attribute is used by a management system to show the label on the port the connector is attached to.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>Eth</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDot3StatsLateCollisions">
+ <description>Performance management counter for the number of times that a collision was detected on the interface after the minimum length of a frame.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDot3StatsFCSErrors">
+ <description>Performance management counter for the number of frames that did not pass the FCS check.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="linkSpeed">
+ <description>The Link Speed, measurment unit is Mb/s..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="duplexMode">
+ <description>The duplex mode used.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="MauDuplexMode">
+ <defaultValue>FULL_DUPLEX</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ReliableProgramUniter">
+ <description>A Reliable Program Uniter (RPU) is a controlling and addressing entity providing one common addressing unit for two Reliable Programs. Or more correctly for parts of two Reliable Programs, each part is called a Resource Suite Handler (RSH). The RSH is implemented and owned by the application. It is not a function or a concept within Cello.
+
+MOs of this type are created automatically during system upgrade.
+MOs of this type are possible to create manually but a system upgrade
+is recommended to create them.</description>
+ <attribute name="userLabel">
+ <description>For free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reliableProgramLabel">
+ <description>Reliable Program Label.
+Compare this to the reliableProgramLabel in LoadModule MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="admActiveSlot">
+ <description>Reference to slot, contains the running "Normal" relation to a slot. If the reference is set to the passive slot, the passive slot will be cleared and only one relation will be set.</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="Slot"/>
+ </dataType>
+ </attribute>
+ <attribute name="admPassiveSlot">
+ <description>Reference to slot, contains the running "Stand-by" relation to a slot. If the reference is set to the active slot or a null value, the passive slot will be cleared. </description>
+ <dataType>
+ <moRef name="Slot"/>
+ </dataType>
+ </attribute>
+ <attribute name="switchOver">
+ <description>This attribute controls when to switchover the RPU, if a certain type error situation occurs.</description>
+ <dataType>
+ <enumRef name="RPUSwitchOverMode">
+ <defaultValue>AtPiuFault</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="normalisation">
+ <description>This attribute controls when to switch back the RPU, after error recovery has been done.</description>
+ <dataType>
+ <enumRef name="RPUNormalisationMode">
+ <defaultValue>Automatic</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="replication">
+ <description>This attribute indicates that which level of data replication that is associated with the RPU.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="RPUReplicationMode">
+ <defaultValue>ApplControlled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalMode">
+ <description>This attribute indicates if the RSH execution mode (active/passive) in the two Reliable Program, matches the normal/stand-by relations set on the corresponding RPU.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="RPUOperationalMode">
+ <defaultValue>Unavailable</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="ReliableProgramUniterId">
+ <mandatory/>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="switch">
+ <description>This action will force the two corresponding RSHs to change their execution mode (active/passive). It will lead either to a switchover or to a switchback, depending of what value the operational Mode attribute is showing.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="ActionNotAllowedException"/>
+ </action>
+ </class>
+
+ <class name="ManagedElementData">
+ <description>This object contains attributes and actions on Cello node-level.
+
+The ManagedElementData MO is automatically created and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, ManagedElementData=1.</description>
+ <systemCreated/>
+ <attribute name="ManagedElementDataId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigurationAllowed">
+ <description>This attribute specifies if the system is allowed to auto create detected objects. Note that slots will always be auto created.
+
+Note! When setting this attribute from true to false the system creates a new CV.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="documentServerAddress">
+ <description>The base URL for the documentation server (ALEX). The attribute is read by Element Management application to find the documentation server in the network.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="logonServerAddress">
+ <description>A list of node names or IP addresses of the single logon servers (SLSs). The list uses commas to seperate the single logon server addresses, e.g. "https://SLS1,https://SLS2,https://SLS3", these addresses consists of the URLs to the concerned SLS servers. The attribute is read by Element Management application to find the single logon server in the network.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="dhcpServerAddresses">
+ <description>IP addresses to the DHCP server, used by the DHCP Relay Agent.
+
+Default is an empty array.
+
+Adding and removing addresses is done through the actions addDhcpServerAddress() and removeDhcpServerAddress().
+
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <string>
+ <defaultValue>empty</defaultValue>
+ </string>
+ <length>10</length>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="performanceDataPath">
+ <description>This attribute is used by the internal performance management service
+to know where to store it's data files. The attribute is the name of
+a path in the node.
+
+Example: /c/public_html/cello/XML_files/</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServerAddressPrimary">
+ <description>This is the IP address to the NTP server</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServiceActivePrimary">
+ <description>This is a boolean that controls if the node should poll the primary NTP server for information.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMinPollPrimary">
+ <description>This value states the minimum interval (in seconds) for polling the primary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMaxPollPrimary">
+ <description>This value states the maximum interval (in seconds) for polling the primary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>1024</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpBurstFlagPrimary">
+ <description>This is the burst flag used towards the primary NTP server.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServerAddressSecondary">
+ <description>This is an alternative IP address to a NTP server</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ntpServiceActiveSecondary">
+ <description>This is a boolean that controls if the node should poll the secondary NTP server for information.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMinPollSecondary">
+ <description>This value states the minimum interval (in seconds) for polling the secondary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>64</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpMaxPollSecondary">
+ <description>This value states the maximum interval (in seconds) for polling the secondary NTP server.
+
+Note! This value has to be &gt; 0.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>16</min> <max>131072</max>
+ </range>
+ <defaultValue>1024</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ntpBurstFlagSecondary">
+ <description>This is the burst flag used towards the secondary NTP server.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="nodeUTCTime">
+ <description>This attribute specifies the UTC time used in the node. The attribute value is milliseconds since epoch (January 1, 1970, 00:00:00 GMT).
+The value may only be set while ntpServiceActive both for primary and secondary is false.</description>
+ <dataType>
+ <longlong>
+ <defaultValue>0</defaultValue>
+ </longlong>
+ </dataType>
+ </attribute>
+ <attribute name="nodeLocalTimeZone">
+ <description>This value states in what time zone the node is located. This attribute is needed by management application to convert UTC time from a log or NTP service to local time.
+It will not change the real time clock on the node.
+
+The timeZone is represented by three characters, example GMT.
+
+Available options are:
+
+TimeZone ID Offset
+GMT (Greenwich Mean Time) 0
+UTC (Coordinated Universal Time) 0
+ECT ( Central European Standard Time) + 1
+EET (Eastern European Time) + 2
+ART (Eastern European Time) + 2
+EAT (Eastern African Time) + 3
+MET (Iran Time) + 3.5
+NET (Armenia Time) + 4
+PLT (Pakistan Time) + 5
+IST (India Standard Time) + 5.5
+BST (Bangladesh Time) + 6
+VST (Indochina Time) + 7
+CTT (China Standard Time) + 8
+JST (Japan Standard Time) + 9
+CST (Central Standard Time) + 9.5
+EST (Eastern Standard Time) + 10
+AET (Eastern Standard Time) + 10
+SST (Solomon Is. Time) + 11
+NST (New Zealand Standard Time) + 12
+CAT (Central Alaska Time) - 1
+AGT (Argentina Time) - 3
+BET (Brazil Time) - 3
+CNT (Newfoundland Standard Time) - 3.5
+PRT (Atlantic Standard Time) - 4
+IET (Eastern Standard Time) - 5
+ACT (Central Standard Time) - 6
+PNT (Mountain Standard Time) - 7
+MST (Mountain Standard Time) - 7
+PST (Pacific Standard Time) - 8
+AST (Alaska Standard Time) - 9
+HST (Hawaii Standard Time) - 10
+MIT (West Saoma Time) - 11
+--
+
+Reference: http://www.greenwichmeantime.com
+</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="daylightSavingTime">
+ <description>This attribute states weather daylight saving time is used on this node. It is used to by the management application and will not change the real time clock on the node.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeCSize">
+ <description>The total size of the C volume on the Cello node in bytes. The C volume may be replicated on several hard disks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="hdVolumeCFreespace">
+ <description>The number of bytes of free space available on the C volume. The C volume may be replicated on several hard disks in a Cello node.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nodeUniqueID">
+ <description>This is a unique node identity to be set only in the factory.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="swServerUrlList">
+ <description>A list of URLs of external server for downloading of software. A comma-separated list or URLs, complete with path and name of property file.
+
+Note: For downloading of software for system upgrade, see attribute ftpServerIpAddress in MO UpdradePackage.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="piuGroupEscalationType">
+ <description>The type of node restart when it is a problem with the last PIU in a PIU group.</description>
+ <dataType>
+ <enumRef name="NodeRestartType">
+ <defaultValue>WARM_AT_PIU_RESTART</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="syncModeOperation">
+ <description>The sync mode operation of the node. Note that this attribute is only relevant when the node contains CBU board. For all other cases the value shall be set to NOT_USED.</description>
+ <dataType>
+ <enumRef name="SyncMode">
+ <defaultValue>NOT_USED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <action name="createNtpPrimary">
+ <description>This action creates the primary Network Time Protocol. There can only exist one primary and one secondary ntp.
+
+Once the ntp is created it is possible to change the attributes, i.e ip address, burst flag, max- and min poll and which is the active one.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ntpServerAddressPrimary">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMinPollPrimary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMaxPollPrimary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpBurstFlagPrimary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpServiceActivePrimary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="createNtpSecondary">
+ <description>This action creates the secondary/alterative Network Time Protocol.
+There can only exist one primary and one secondary ntp.
+
+Once the ntp is created it is possible to change the attributes, i.e ip address, burst flag, max- and min poll and which is the active one.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ntpServerAddressSecondary">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMinPollSecondary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpMaxPollSecondary">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpBurstFlagSecondary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ <parameter name="ntpServiceActiveSecondary">
+ <in/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="deleteNtpPrimary">
+ <description>This action deletes the primary NTP.
+
+An exception will be thrown if the primary attributes are accessed (set and get).
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deleteNtpSecondary">
+ <description>This action deletes the secondary NTP.
+
+An exception will be thrown if the secondary attributes are accessed (set and get).
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="addDhcpServerAddress">
+ <description>Takes an array of Ip addresses (Strings), and adds them to the list held by the Dhcp Relay Agent fRO.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddressArray">
+ <description>Elements are assumed to have the format of a String with 4 fields of numerics, each field separated with a dot. E.g. 123.322.34.123. An empty array or empty string in first element is not allowed. Max 10 elements.</description>
+ <in/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="removeDhcpServerAddress">
+ <description>Takes an array of Ip addresses (Strings), and removes them from the list held by the Dhcp Relay Agent fRO.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="ipAddressArray">
+ <description>Elements are assumed to have the format of a String with 4 fields of numerics, each field separated with a dot. E.g. 123.322.34.123. An empty array or empty string in first element is not allowed. Max 10 elements.</description>
+ <in/>
+ <dataType>
+ <sequence>
+ <string/>
+ </sequence>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="setNodeUniqueId">
+ <description>Used for setting the nodeUniqueId attribute. This method should only be used in the factory, i.e. it is not for general use by the operator.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="nodeUniqueId">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="SwitchExtensionUnit">
+ <description>This MO represents the Switch Extension Unit, SXU, board. The board may only be inserted in slot 2-27 (in a standard subrack).
+If redundant links are used, two boards must be inserted next to each other.
+The board has a number of switch internal link ports.</description>
+ <attribute name="SwitchExtensionUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>This attribute is used to hold a user friendly name of the object if needed.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeStateSil">
+ <description>The administrative state of switch internal link ports on the switch board.
+The attribute refers to all ports (locking will lock all ports, unlocking will unlock all ports).
+SIL- switch internal link.
+
+</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>unlocked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSil">
+ <description>Operational State for all ports. Operational state is enabled if one of the eight ports is enabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalStateSync">
+ <description>Operational State for network synchronization distribution</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSil">
+ <description>The availability state of switch internal link (sil) ports.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusSync">
+ <description>The availability state of the sync link.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the action Reserve.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="SilPorts">
+ <description>The attribute provides a list of port numbers.
+The port number is between 0-7 and is used to read state of the switch internal port.
+Se action getSilPortState, getSilOperState and getSilAvailState.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <long/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="getSilPortState">
+ <description>Returns status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="SilPortState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilOperState">
+ <description>Returns operational status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <enumRef name="OperState">
+ </enumRef>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="getSilAvailState">
+ <description>Returns availability status of the switch internal link port.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <long/>
+ </returnType>
+ <parameter name="portNr">
+ <in/>
+ <dataType>
+ <long/>
+ </dataType>
+ </parameter>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Aal2PathDistributionUnit">
+ <description>This MO is used to represent an AAL2 Path Distribution Unit. Such a unit handles a set of Aal2PathVccTps that belong to the same Aal2 AP and that are handled by the same Path Resource Handling Program.
+This Path Resource Handling Program is referenced by the rpuId attribute.</description>
+ <attribute name="Aal2PathDistributionUnitId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>The physical location of a CPS RC (Common Part Sub-Layer Resource Control) program represented by a reference to a ReliableProgramUniter.
+
+Note that one ReliableProgramUniter MO may only be referenced once under one Aal2Ap. That means all Aal2PathDistributionUnits must reference different ReliableProgramUniter MO's.
+
+Two Aal2PathDistributionUnits under different Aal2Ap's may however reference the same ReliableProgramUniter MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal2PathVccTpList">
+ <description>List of references to Aal2PathVccTp MO:s.
+Note that setting of this attribute removes the current list of Aal2PathVccTp's.
+Each reference must be unique among all paths within all Aal2PathDistributionUnit's belonging to the same Aal2Ap.</description>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <action name="addPath">
+ <description>This action adds a list of Aal2PathVccTps to an Aal2PathDistributionUnit.
+
+If the data contains a reference to a non existing path, the exception InvalidReference is raised.
+
+If the path list contains a path that has a non-unique aal2PathId value, then the exception Aal2PathIdNotUnique is raised. The pathId value must be unique within all Aal2PathDistributionUnits under the same Aal2Ap.
+
+If the path list contains a path that already belongs to a Aal2PathDistributionUnit, then the exception PathAlreadyRegistered is raised.
+
+Note! Adding one or more Aal2PathVccTps using this action updates the attribute 'Aal2PathVccTpList'.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aal2PathVccTpId">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="InvalidReferenceException"/>
+ <raisesException name="Aal2PathIdNotUniqueException"/>
+ <raisesException name="PathAlreadyRegisteredException"/>
+ </action>
+ <action name="removePath">
+ <description>This action removes a list (sequence) of AAL2 path's from the Aal2PathDistributionUnit.
+
+If the path list contains a path that is not in this Aal2PathDistributionUnit, the exception NotInGroup is raised.
+
+Note! Removing one or more Aal2PathVccTps using this action updates the attribute 'Aal2PathVccTpList'.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="aal2PathList">
+ <in/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </parameter>
+ <raisesException name="NotInGroupException"/>
+ </action>
+ </class>
+
+ <class name="Fan">
+ <description>The Fan is used for cooling the Cello-subrack and is connected to one or two
+SCU (switch core board). This MO is used for controlling and sending alarm from
+the Fan.
+
+Note, either the fan can be supplied by Cello and Fan MO is used, or alternatively the fan can supplied by a source external to Cello and therefore a ManagedObject MO is used to represent the fan.
+
+</description>
+ <attribute name="FanId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>user label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrativeState of Fan MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="connectedToScu">
+ <description>A Fan must always be connected to a Switch Core unit that mediates the communication with a main processor.
+
+In this attribute it is possible to see which SwichCoreUnit that the fan is connected to.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwitchCoreUnit"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state is set to 'enabled' when the Fan has loaded and started its load module.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="piuType">
+ <description>A reference to an available PiuType at create of a Fan.
+</description>
+ <mandatory/>
+ <dataType>
+ <moRef name="PiuType"/>
+ </dataType>
+ </attribute>
+ <attribute name="controllingState">
+ <description>The application that controls the Fan has three main states:
+- standalone: running on itself, not manageable
+- autonomous: manageable state
+- noiseReduced: temporary state with reduced cooling
+
+NOTE: This attribute is not supported in CPP 4.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="FanState">
+ <defaultValue>standalone</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="hdlcAddress">
+ <description>The physical address of the RS-485 communication link.
+Incorrect setting of the address can cause collision with other connected auxiliary units or lost connection. The default value should be suitable for most configurations.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <action name="restartFan">
+ <description>A restart will cause the Fan to be initiated, re-booted and all load modules to be reloaded from persistent memory. This is also known as a forced reload.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="restartCause">
+ <in/>
+ <dataType>
+ <enumRef name="RestartCause">
+ </enumRef>
+ </dataType>
+ </parameter>
+ <raisesException name="EquipException"/>
+ <raisesException name="ValueOutOfRangeException"/>
+ </action>
+ </class>
+
+ <class name="Mtp2TpItu">
+ <description>This MO represents a MTP2 Termination Point for the ITU standard, as defined in Recommendation Q.703 07/96.
+
+The performance monitoring counters found in Mtp2Itu MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a hardware fault, aal1 server/adm restart or node restart.
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard
+which is NOT the ITU standard.
+
+NOTE: There is a restriction of a maximum of 32 Mtp2Tp's per MP.</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2TpItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP2 Signalling Terminal.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp2ProfileItuId">
+ <description>Reference to a Mtp2ProfileItu MO.
+
+Note: The bitRate can not be changed.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <moRef name="Mtp2ProfileItu"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReTransmittedOctets">
+ <description>Number of re-transmitted octets.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNacks">
+ <description>Number of negative acknowledgements received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuReceivedInError">
+ <description>Number of signal units received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfStartedRBCongestion">
+ <description>Number of started local RB congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSendBufferOctets">
+ <description>Number of octets in send buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLocalSIBTime">
+ <description>Total time in local SIB (Sending).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRemoteSIBTime">
+ <description>Total time in remote SIB (Receiving).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFTransmitted">
+ <description>Number of SIO &amp; SIF octets transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The attribute is set by the list of reserving MO's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Mtp3bSlItu"/>
+ </dataType>
+ </attribute>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>The PlugInUnit MO that this MO references.
+It indicates on which processor the Termination Point will be.
+
+Note: The PlugInUnit must be of type GPB.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUTransmitted">
+ <description>Number of MSUs transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFReceived">
+ <description>Number of SIO and SIF octets received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUReceived">
+ <description>Number of MSUs received..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2TpAnsi">
+ <description>This MO represents a MTP2 Termination Point for the ANSI standard , as defined in T1.111-1996.
+
+The performance monitoring counters found in Mtp2Ansi MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a hardware fault, aal1 server/adm restart or node restart.
+
+NOTE: This MO cannot in anyway be related (either contained by or dependent on) an MO that belongs to a
+standard which is NOT the ANSI standard.
+
+NOTE: There is a restriction of a maximum of 32 Mtp2Tp's per MP.</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2TpAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP2 Signalling Terminal.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp2ProfileAnsiId">
+ <description>Reference to a Mtp2ProfileAnsi MO.
+
+Note: The bitRate can not be changed.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <moRef name="Mtp2ProfileAnsi"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReTransmittedOctets">
+ <description>Number of re-transmitted octets.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNacks">
+ <description>Number of negative acknowledgements received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuReceivedInError">
+ <description>Number of signal units received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfStartedRBCongestion">
+ <description>Number of started local RB congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSendBufferOctets">
+ <description>Number of octets in send buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLocalSIBTime">
+ <description>Total time in local SIB (Sending).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRemoteSIBTime">
+ <description>Total time in remote SIB (Receiving).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFTransmitted">
+ <description>Number of SIO &amp; SIF octets transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUReceived">
+ <description>Number of MSUs received..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Mtp3bSlAnsi"/>
+ </dataType>
+ </attribute>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>The PlugInUnit MO that this MO references.
+It indicates on which processor the Termination Point will be.
+
+Note: The PlugInUnit must be of type GPB.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUTransmitted">
+ <description>Number of MSUs transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFReceived">
+ <description>Number of SIO and SIF octets received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Ds0Bundle">
+ <description>The Ds0Bundle, Digital Signalling Level 0, MO represents a number of timeslots on a physical port.
+Any of E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp or T1Ttp.
+The Ds0Bundle can be used in three different ways:
+
+- Fractional ATM
+
+Please refer to the AtmPort MO for details. Note that the TdmMode attribute
+must be disabled.
+
+-Circuit emulation
+
+Please refer to Aal1VccTp MO for details. Note that the TdmMode attribute
+must be disabled. Note that only 4 Ds0Bundle's per port can be configured
+when running circuit emulation.
+
+- TDM Switching
+
+The Ds0Bundle is reserved for switching node internal Ds0 connections. In
+this mode, the tdmMode attribute should be enabled.
+
+
+</description>
+ <attribute name="Ds0BundleId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availabiltity status of Ds0Bundle.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of Ds0Bundle.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="listOfTimeSlots">
+ <description>The list gives the time slots (within ATM traffic fraction) that are to be allocated to the Ds0Bundle.
+
+When a Ds0Bundle is contained by a E1PhysPathTerm the slots that can be placed in this list are 1 to 31.
+When a Ds0Bundle is contained by a J1PhysPathTerm then the slots that can be placed in this list are 1 to 24.
+When a Ds0Bundle is contained by a T1PhysPathTerm then the slots that can be placed in this list are 1 to 24.
+When a Ds0Bundle is contained by a E1Ttp the slots that can be placed in this list are 1 to 31.
+
+Note: the same timeslot cannot appear more than once in the list! If a E1/J/T1PhysPathTerm contains more than
+one Ds0Bundle, then the Ds0Bundles listOfTimeSlots must be mutually exclusive (i.e. slots in one list cannot be used in another list).
+
+Note! If the Ds0Bundle is used for carrying SS7 traffic, the listOfTimeSlots can only contain 1 (one) time slot.
+In this case that is if the Ds0Bundle is reserved by an Mtp2TpAnsi, Mtp2TpItu or Mtp2TpChina.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <sequence>
+ <long>
+ <range>
+ <min>0</min> <max>31</max>
+ </range>
+ </long>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="tdmMode">
+ <description>The state of tdmMode (Time Division Multiplexing), can be disabled/enabled.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal1TpVccTp">
+ <description>The Aal1TpVccTp MO represents the Aal1 interworking function in a circuit emulation. The object refers to a Ds0Bundle which represents one end of the circuit emulation, and a VclTp which represents the other end.
+
+Note! The performance monitoring counters in this MO is set to 0 when counterMode is set to PM_MODE_ON.
+In PM_MODE_OFF no information at all is retrived. So any value for pm-monitoring is measured from the time
+of setting counterMode to PM_MODE_ON.
+At node restart these performance monitoring counters is reset to 0.</description>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="partialFill">
+ <description>Indicates how many bytes are filled in each ATM cell. The value 47 indicates that the partial filled cells is not used, i.e. all the cells are filled completely.
+
+Partial filling of cells in used in circuit emulation. It is used to reduce packetisation delay. Refer to the ATM Forum specifications for more details.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>23</min> <max>47</max>
+ </range>
+ <defaultValue>47</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="cdvt">
+ <description>Specifies the cell delay variation tolerance. Refer to ATM Forum specifications for more details.
+
+Unit is micro seconds.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>100</min> <max>4000</max>
+ </range>
+ <defaultValue>1000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of Aal1TpVccTp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="continuityCheck">
+ <description>Continuitiy checking means that a cell is sent downstream when no user cell has been sent for a period of nominally 1 second.
+
+Activates VC end to end continuityCheck according to ITU.T rec I.610.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="counterMode">
+ <description>Controls the activation of PM counters and F5 PM flow on the VC connection.</description>
+ <dataType>
+ <enumRef name="AtmCounterMode">
+ <defaultValue>PM_MODE_OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nomPmBlockSize">
+ <description>Nominal performance monitoring (PM) blocksize. The minimum number of ATM cells to send before sending a Forward Performance Monitoring, FPM, cell.</description>
+ <dataType>
+ <enumRef name="AtmNomPmBlkSize">
+ <defaultValue>NOM_PM_BLKSIZE_2_10</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwLostCells">
+ <description>Performance monitoring counter for forwarded lost cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwMissinsCells">
+ <description>Performance monitoring counter for number of forwarded missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmFwErrBlocks">
+ <description>Performance monitoring counter for number of forwarded errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostFpmCells">
+ <description>Performance monitoring counter for number of lost Forward Performance Monitoring, FPM cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwLostCells">
+ <description>Performance monitoring counter for number of lost backward cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwMissinsCells">
+ <description>Performance monitoring counter for number of backward missinserted cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmBwErrBlocks">
+ <description>Performance monitoring counter for number of backward errored blocks.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmLostBrCells">
+ <description>Performance monitoring counter for number of lost Backward Reporting, BR, cells.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="Aal1TpVccTpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="vclTpId">
+ <description>The VclTp MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="VclTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="alarmReport">
+ <description>Attribute to decide what type of alarms to be reported.</description>
+ <dataType>
+ <enumRef name="AlarmReport">
+ <defaultValue>ALARM_LOC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSpAnsi">
+ <description>Mtp3b Signalling Point for the ANSI standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the Ansi standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpAnsi MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ANSI standard.
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.</description>
+ <attribute name="Mtp3bSpAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The network indicator, NI, is used for discrimination of messages between functionally different networks.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkInd">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 24)-1 (16777215).
+The SignallingPointCode (SPC) is normally grouped together as three numbers wich correspond to network identifier, network cluster, network cluster member. The three numbers are coded as 8-8-8 bits in the total SPC. To enter the SPC-value, you have to convert the 3 numbers to their binary code and then convert the whole 24-bit patterna as an integer. Sometimes the SPC is used as a whole uniqe number (no internal structure).
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16777215</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+Minimum string length 2 characters, maximum 30 characters. Allowed characters are 0-9, A-F.
+Only even number of characters is allowed.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>2</min> <max>30</max>
+ </lengthRange>
+ <defaultValue>"A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="transFrHandler">
+ <description>Determines how a TransFer Restricted signal (TFR) should be treated.
+</description>
+ <dataType>
+ <enumRef name="Mtp3bTfrHandling">
+ <defaultValue>IGNORED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="sioSpare">
+ <description>The sioSpare2 attribute determines if the two spare bits in the SIO (Service Information Octet) should be treated as spare.</description>
+ <dataType>
+ <enumRef name="Mtp3bSioSpareBitsUse">
+ <defaultValue>PRIO</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="m3uaNoOfAttempsOfDauds">
+ <description>For M3UA, number of attempts to send the DAUD message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfAttempsOfAssociationEstablishment">
+ <description>Number of attempts to send the SCTP_ASSOCIATE_REQ message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65535</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sendSltmBefLink">
+ <description>The sendSltmBefLink attribute determines if the SLTM (Signalling Link Test Message) should be sent and a SLTA received before the link is considered to be in service.
+
+Note! It is not recommended nor is it in accordance with standard to set the value of this attribute to 'false' </description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the ANSI priority attributes.
+</description>
+ <dataType>
+ <structRef name="Mtp3bSpAnsiPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing ANSI specific timer data.</description>
+ <dataType>
+ <structRef name="Mtp3bSpAnsiTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTimerT21WasStarted">
+ <description>Performance monitoring counter for number of times the timer T21 was started (i.e. how many times the remote inhibit test message has been sent).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocAbort">
+ <description>The number of successful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocShutDown">
+ <description>The number of succesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocAbort">
+ <description>The number of unsuccessful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocShutDown">
+ <description>The number of unsuccesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIncomingAssocEstabRequestInStateDownWhenStateEstabIsBlocked">
+ <description>The number of incoming request for association establishment when state on the association is 'DOWN' and establishment of associations is blocked. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocEstabReached">
+ <description>The number of times that the max limit for trying to establish an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocActivReached">
+ <description>The number of times that the max limit for trying to activate an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLowerPrioMsgDiscarded">
+ <description>The number of messages with low priority that has been discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSpItu">
+ <description>Mtp3b Signalling Point for the ITU standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the ITU standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpItu MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ITU standard.
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.
+
+NOTE: The value of the attribute 'version' is valid for all SPs (Mtp3bSpItu MOs) and the value of the last created or changed SP will be used for all SPs.</description>
+ <attribute name="Mtp3bSpItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The networkInd attribute (NI) is used by signalling message handling functions in MTP3b
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkInd">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 14)-1 (16383).
+The SignallingPointCode (SPC) is normally used as a whole unique number (no internal structure). But sometimes the SPC is grouped together as three numbers which corresponds to zone, area/network, signalling point. If this is the case the three numbers are coded as 3-8-3 bits in the total SPC. To enter the SPC-value then you have to convert the three numbers to their binary code and then convert the whole 14-bit pattern as an integer.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16383</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="version">
+ <description>This attribute shows what MTP3b ITU standard the system follows. With ITU, it is possible using this attribute to set which of the ITU standard versions that shall be followed.
+
+Note! Setting of another value than 'ITU_T_07_96' at create will have no effect. The Signaling Point will always be created with the value 'ITU_T_07_96'.
+
+Note! It is not possible to hav signaling points with different versions in the node so setting of this attribute will effect all signaling points. The value for the last created or changed signaling point will be used for all signaling points.</description>
+ <dataType>
+ <enumRef name="Mtp3bItuVersion">
+ <defaultValue>ITU_T_07_96</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.
+
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="transFrHandler">
+ <description>Determines if a TransFer Restricted signal (TFR) should be treated as a TFA (TransFer Allowed signal) or be ignored.
+</description>
+ <dataType>
+ <enumRef name="Mtp3bTfrHandling">
+ <defaultValue>IGNORED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="sioSpare">
+ <description>The sioSpare2 attribute determines if the two spare bits in the SIO (Service Information Octet) should be treated as spare.</description>
+ <dataType>
+ <enumRef name="Mtp3bSioSpareBitsUse">
+ <defaultValue>PRIO</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sendSltmBefLink">
+ <description>The sendSltmBefLink attribute determines if the SLTM (Signalling Link Test Message) should be sent and a SLTA received before the link is considered to be in service.
+
+Note! It is not recommended nor is it in accordance with standard to set the value of this attribute to 'false' </description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+Minimum string length 2 characters, maximum 30 characters. Allowed characters are 0-9, A-F.
+Only even number of characters is allowed.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>2</min> <max>30</max>
+ </lengthRange>
+ <defaultValue>"A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the priority attributes.
+</description>
+ <dataType>
+ <structRef name="Mtp3bSpItuPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing ITU specific timer attributes</description>
+ <dataType>
+ <structRef name="Mtp3bSpItuTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="m3uaNoOfAttempsOfDauds">
+ <description>For M3UA, number of attempts to send the DAUD message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfAttempsOfAssociationEstablishment">
+ <description>Number of attempts to send the SCTP_ASSOCIATE_REQ message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65535</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTimerT21WasStarted">
+ <description>Performance monitoring counter for number of times the timer T21 was started (i.e. adjacent SP restarted).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocAbort">
+ <description>The number of successful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocShutDown">
+ <description>The number of succesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocAbort">
+ <description>The number of unsuccessful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocShutDown">
+ <description>The number of unsuccesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIncomingAssocEstabRequestInStateDownWhenStateEstabIsBlocked">
+ <description>The number of incoming request for association establishment when state on the association is 'DOWN' and establishment of associations is blocked. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocEstabReached">
+ <description>The number of times that the max limit for trying to establish an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocActivReached">
+ <description>The number of times that the max limit for trying to activate an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLowerPrioMsgDiscarded">
+ <description>The number of messages with low priority that has been discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSpTtc">
+ <description>Mtp3b Signalling Point for the TTC standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the TTC standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpTtc MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a
+standard which is NOT the TTC standard.
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.</description>
+ <attribute name="Mtp3bSpTtcId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The networkInd attribute (NI) is used by signalling message handling functions in MTP3b.
+
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkIndTtc">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 16)-1 (65535).
+The SignallingPointCode (SPC) is always used as a whole unique number (no internal structure).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.
+
+Note! Only SEP and STP is supported for the Ttc standard.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+String length 4 characters. Allowed characters are 0-9, A-F.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>4</min> <max>4</max>
+ </lengthRange>
+ <defaultValue>"7711"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the TTC priority attributes.
+</description>
+ <dataType>
+ <structRef name="Mtp3bSpTtcPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing TTC timer attributes.</description>
+ <noNotification/>
+ <dataType>
+ <structRef name="Mtp3bSpTtcTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSlAnsi">
+ <description>This MO represents an MTP3b Signalling Link for the ANSI standard. It represents the termination of the signalling link within the signalling point as defined in T1.111-1996.
+
+The total amount of links between any two specific nodes in the network shall not be more than 80 independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to. A maximum of five linksets with a maximum of 16 links in each linkset can be configured. A maximum of 16 links between two adjacent nodes can be configured.
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ANSI standard.
+
+The performance monitoring counters found in Mtp3SlAnsi MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).</description>
+ <attribute name="Mtp3bSlAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSlAnsi.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol.
+
+For narrowband this signalling link will be connected to an MTP L2 termination point (Mtp2Tp MO). And for broadband it will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSlAnsi.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The signLinkCode for a link must be unique between the nodes,
+independent on in which linkSet the link belongs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3bSL should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+
+Note! This counter is incremented every time a link out of service indication is received for the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="deactivate">
+ <description>This action deactivates the signalling link.
+ It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="activate">
+ <description>This action activates the signalling link with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the signalling link with emergency alignment.
+ It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="localInhibit">
+ <description>This action inhibits the Mtp3bSlAnsi. Signalling link management inhibiting is requested by management when it becomes necessary, e.g for maintenance or testing purposes to make or keep a signalling link unavailable to User Part-generated signalling traffic.
+Inhibiting of a signalling link may be requested by management function at either end of the link. The request is granted, provided that the inhibiting action does not cause any previously accessible destinations to become inaccessible at either end of the signalling link. The request may also be refused under certain circumstances such as congestion.
+Exception FroRequestFailed if it was not possible to inhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="localUninhibit">
+ <description>This action uninhibits the Mtp3bSlAnsi.
+
+Exception FrorequestFailed if it was not possible to uninhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSlItu">
+ <description>This MO represents an MTP3b Signalling Link for the ITU standard. It represents the termination of the signalling link within the signalling point as defined in Q.704 and Q.2210 (07/96).
+
+The total amount of links between any two specific nodes in the network shall not be more than 16, independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to.
+
+The performance monitoring counters found in Mtp3SlItu MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the ITU standard.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol.
+
+For narrowband this signalling link will be connected to an MTP L2 termination point (Mtp2Tp MO). And for broadband it will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSl.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSl.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The signLinkCode for a link must be unique between the nodes,
+independent on in which linkSet the link belongs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3b Signalling Link should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="prioBeforeSio">
+ <description>This Boolean tells if the message priority shall be inserted in an octet before the Service Indicator Octet (SIO).
+
+ITU default is false.
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+
+Note! This counter is incremented every time a link out of service indication is received for the link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp3bSlItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="localInhibit">
+ <description>This action inhibits the Mtp3bSlItu. Signalling link management inhibiting is requested by management when it becomes necessary, e.g for maintenance or testing purposes to make or keep a signalling link unavailable to User Part-generated signalling traffic.
+Inhibiting of a signalling link may be requested by management function at either end of the link. The request is granted, provided that the inhibiting action does not cause any previously accessible destinations to become inaccessible at either end of the signalling link. The request may also be refused under certain circumstances such as congestion.
+Exception FroRequestFailed if it was not possible to inhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="localUninhibit">
+ <description>This action uninhibits the Mtp3bSlItu.
+
+Exception FrorequestFailed if it was not possible to uninhibit.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="deactivate">
+ <description>This action deactivates the Mtp3bSl.
+It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="activate">
+ <description>This action activates the Mtp3bSl with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the Mtp3bSl with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="Mtp3bSlTtc">
+ <description>This MO represents an MTP3b Signalling Link for the TTC standard. It represents the termination of the signalling link within the signalling point as defined in JT-Q.704 Ver. 3, April 28 1992 and JT-Q.2210 Ver.1 April 24 1996.
+
+The total amount of links between any two specific nodes in the network shall not be more than 16, independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to.
+
+The performance monitoring counters found in Mtp3SlTtc MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the TTC standard.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol. Only BroadBand is supported for TTC. The MO will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSl.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSl.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The code have to be uniqe in this signal link set, i.e the signallingLinkCode for
+all the Mtp3bSl that belongs to the same Mtp3bSls must be unique(mutual exclusive). </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3b Signalling Link should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="prioBeforeSio">
+ <description>This Boolean tells if the message priority shall be inserted in an octet before the Service Indicator Octet (SIO).
+
+</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp3bSlTtcId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <action name="deactivate">
+ <description>This action deactivates the Mtp3bSl.
+It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="activate">
+ <description>This action activates the signalling link with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the signalling link with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ <raisesException name="FroNotAccessibleException"/>
+ </action>
+ </class>
+
+ <class name="Mtp2ProfileAnsi">
+ <description>The MTP 2 Profile MO represents and keeps attribute values used by one or more MTP 2 ANSI termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>This MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2ProfileAnsiId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>Struct containing profile data.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="Mtp2ProfileDataAnsi"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of Mtp2TpAnsi MOs that have reserved this Mtp2TpProfileAnsi MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp2TpAnsi"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2ProfileItu">
+ <description>The MTP 2 Profile MO represents and keeps attribute values used by one or more MTP 2 ITU termination point MOs.</description>
+ <attribute name="userLabel">
+ <description>The MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2ProfileItuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <description>Struct containing profile data.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="Mtp2ProfileDataItu"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of Mtp2TpItu MOs that have reserved this Mtp2TpProfileItu MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp2TpItu"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="E1Ttp">
+ <description>This MO represents a channelised E1 interface in the node. The E1Ttp (Trail Termination Point) MO
+does not define which type of traffic (TDM or ATM) that the interface should support. Please refer to
+the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+The performance monitoring counters in the E1Ttp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! This MO is automatically created as a consequence of creating an Os155SpiTtpMo
+Please refer to the Os155SpiTtpMo for more details.
+
+</description>
+ <systemCreated/>
+ <attribute name="degDegThr">
+ <description>The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="degDegM">
+ <description>How many bad seconds in a row that causes a Degraded Signal alarm.
+
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="crc4Mode">
+ <description>Indicates what Cyclical Redundancy Check 4 Mode that is being used.</description>
+ <dataType>
+ <enumRef name="Crc4Mode">
+ <defaultValue>AUTOMATIC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter of Errored Seconds (ES).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Severely Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="E1TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identityof the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Vc4Ttp">
+ <description>This MO is automatically created as a consequence of creating an Os155SpiTtp object if any of the following conditions are true:
+a) standardmode = ETSI or
+b) standardmode = TTC and muxmode = VC4_STS3CSPE_LEVEL.
+
+It represents the termination of the SDH VC-4 path layer. The VC-4 can either be used for channelised access, in which case VC-12 MOs are created as children to the Vc4Ttp MO, or be used to carry ATM traffic, in which case there is a relationship between the AtmPort MO and the Vc4Ttp MO.
+
+Note! The performance monitoring counters in the Vc4TtpMO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <systemCreated/>
+ <attribute name="pathTraceFormat">
+ <description>Indicates the format of the expectedPathtrace and transmittedPathtrace attributes. The format may be in 16 or 64 characters. Usually 16 is used for ETSI and 64 for ANSI/TTC.
+
+ITU_T_G707=16
+GR_253_CORE=64
+
+Note that the 16 characters format requires the operator to specify 15 characters where no character contains the null character (the 16th character is added automatically).
+
+Note that the 64 characters format requires the operator to specify 62 ASCII characters all different from null followed by CR/LF.</description>
+ <dataType>
+ <enumRef name="PathTraceFormat">
+ <defaultValue>ITU_T_G707</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+Can be defined in two formats. The format may be in 16 or 64 characters. Usually 16 is used for ETSI and 64 for ANSI/TTC.
+
+Note: The string must be of 15 or 62 characters length, or empty string ("").
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+The string can be of 15 or 62 characters length, or empty string ("").
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled. This attribute is set to empty string every time attribute pathTraceFormat is set.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegThreshold">
+ <description>This threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+The attribute value is in unit of % (percent).</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegM">
+ <description>Indicates how many bad seconds in a row that causes a Degraded Signal alarm.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="auAisReporting">
+ <description>AU AIS reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcRdiReporting">
+ <description>VC RDI reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcEs">
+ <description>Performance monitoring counter for Virtual Container 4, VC4, Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcSes">
+ <description>Performance monitoring counter for Virtual Container 4, VC4, Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="Vc4TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Os155SpiTtp">
+ <description>This MO represents the physical interface and the termination of the SDH Regenerator and Multiplexer Section Layers.
+
+When creating the Os155SpiTtp a number of additional objects will be created. Depending on the values of standardmode and muxmode different objects will be created as described below:
+
+muxmode = standby
+standardmode = ETSI, TTC, ANSI
+No objects under the Os155SpiTtp is created.
+
+muxmode = VC4_STS3CSPE_LEVEL
+standardmode = ETSI, TTC
+A Vc4 MO is created under the Os155SpiTtp MO.
+
+muxmode = VC4_STS3CSPE_LEVEL
+standardmode = ANSI
+A Sts3CspeTtp MO is created under the Os155SpiTtp MO.
+
+The following two configurations is only applicable for the ET-MC41 board.
+
+muxmode = E1_J1_T1_LEVEL
+standardmode = ETSI
+A Vc4 MO, 63 Vc12Ttp MO's and 63 E1Ttp MO's is created under the Os155SpiTtp MO
+
+muxmode = E1_J1_T1_LEVEL
+standardmode = ANSI
+3 Sts1CspeTtp MO's, 3*28 Vt15Ttp MO's and 3*28 TiTtp MO's is created under the Os155SpiTtp MO.
+
+The performance monitoring counters in the Os155SpiTtp MO is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! If the Os155SpiTtp is configured as part of an Mspg protection group and is configured as standby, muxMode set to standby, no children's to this MO will be created.</description>
+ <attribute name="Os155SpiTtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual line number.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="standardMode">
+ <description>The standard that this MO is operating to.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="StandardMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="muxMode">
+ <description>The level at which the STM-1/OC3 signal is demultiplexed.
+
+If parent is of type Etmc41, the valid muxMode is STANDBY or E1_J1_T1_LEVEL.
+If parent is of type Etm4, the valid muxMode is STANDBY or VC4_STS3CSPE_LEVEL.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="MuxMode">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="msDegThreshold">
+ <description>MS - multiplexer section.
+
+The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.
+
+Note: When operating to the ANSI standard, this attribute has no meaning.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="msDegM">
+ <description>MS - multiplexer section.
+
+How many bad seconds in a row that causes a Degraded Signal alarm.
+
+Note: When operating to the ANSI standard, this attribute has no meaning.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="msRdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="msAisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="loopBack">
+ <description>Enables or disables line loopback, i.e. the received signal is returned in the transmitted direction.
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="Os155LoopBack">
+ <defaultValue>NONE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmMsEs">
+ <description>Performance monitoring counter for Multiplexer Section, MS, Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmMsSes">
+ <description>Performance monitoring counter for Multiplexer Section, MS, Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Vc12Ttp">
+ <description>This MO is automatically created when the configuring the Os155SpiTtp. It represents the termination of the SDH VC-12 path layer.
+
+Note: This MO is only valid when the ETSI standard is in use.
+
+The performance monitoring counters in the Vc12TtpMO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <systemCreated/>
+ <attribute name="Vc12TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+
+Note: when 16 byte tracing is used, only 15 bytes should be used in the set action for this attribute.
+
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+Note: when 16 byte tracing is used, only 15 bytes should be used in the set action for this attribute.
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled.
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegThreshold">
+ <description>This threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+The attribute value is in unit of % (percent).</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="vcDegM">
+ <description>Indicates how many bad seconds in a row that causes a Degraded Signal alarm.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tuAisReporting">
+ <description>Timing Unit Alarm Indication Signal (TU AIS) reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="vcRdiReporting">
+ <description>VC RDI reporting.
+
+True - enable reporting.
+False - disable reporting.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcEs">
+ <description>Performance monitoring counter for Virtual Container 12, VC12, Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmVcSes">
+ <description>Performance monitoring counter for Virtual Container 12, VC12, Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etmc1">
+ <description>This MO represents the hardware of the ET-MC1 board type. It only represents the ET specific hardware, the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-MC1 board contains 8 phyiscal ports that can be configured as either E1 (ETSI), J1 (TTC) or T1(ANSI). The board does not allow for a mix of E1, J1 and T1 ports, thus all configured ports on the board must be configured to the same standard.
+
+Each port on the ET-MC1 board can be used to carry either ATM traffic or circuit orientated (Nx64 Kbits/s connections) traffic.
+
+Each port can support up to 30 ATM VC connections. Two of these connections are reserved for AAL2 paths, and therefore two AAL2 multiplexers per port are available.
+
+Each port can support up to 2 VP connections.
+
+The ET-MC1 port supports one bidirectional F4/F5 PM flow per port.
+
+At the VP/VC endpoints, the Lost FPM/BR cells counters are not available.
+
+Note! The ETMC1 supports IMA.
+
+For more details on restrictions please refer to 155 17-21/CSX 101 09 Uen.
+
+Note! When the Etmc1 is part of the Cbu it is only possible to configure 4 physical ports of type E1, J1 or T1 on the Etmc1 board.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ETMC1 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etmc1Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etmc41">
+ <description>This MO represents the hardware of the ET-MC41 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-MC41 board contains a 155 Mbits/s physical optical port. The board can be configured as a E1 channelised board providing 63 logical E1 interfaces.
+
+Each E1 logical channel on the ET-MC41 can be used to carry either ATM traffic or circuit oriented (Nx64 kbit/s) traffic.
+
+Each E1 logical channel can support up to 30 ATM VC connections. Two of these connections are reserved for aal2 paths, and therefore two AAL2 multiplexers per E1/DS1/J1 channel are available.
+
+Each E1/DS1/J1 channel can suport up to 2 VP connections.
+
+The ET-MC41 board support one biderectional F4/F5 PM flow per E1 channel.
+
+Note! The ETMC41 supports IMA. However the E1 ports being part of the same IMA group can not be selected randomly.
+
+Note! The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 1 / port
+
+For more details on restrictions please refer to 155 17-21/CSX 101 09 Uen.</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ET-MC41 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="Etmc41Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2ProfileChina">
+ <description>The MTP2 Profile MO represents and keeps attribute values used by one or more MTP2 China termination point MOs.</description>
+ <attribute name="Mtp2ProfileChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="profileData">
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="Mtp2ProfileDataChina"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of Mtp2TpChina MOs that have reserved this Mtp2TpProfileChina MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp2TpChina"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>The MOs user label.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp2TpChina">
+ <description>This MO represents a MTP 2 termination point for the China standard, as defined in GF001-9001, plus supplement 1-3.
+
+The performance monitoring counters found in Mtp2China MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a hardware fault, aal1 server/adm restart or node restart.
+
+NOTE: This MO cannot in anyway be related (either contained by or dependent on) an MO that belongs to a standard
+which is NOT the China standard.
+
+NOTE: There is a restriction of a maximum of 32 Mtp2Tp's per MP.
+
+</description>
+ <attribute name="ds0BundleId">
+ <description>The Ds0Bundle MO that this MO references.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Ds0Bundle"/>
+ </dataType>
+ </attribute>
+ <attribute name="mtp2ProfileChinaId">
+ <description>Reference to a Mtp2ProfileChina MO.
+
+Note: The bitRate can not be changed.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <moRef name="Mtp2ProfileChina"/>
+ </dataType>
+ </attribute>
+ <attribute name="Mtp2TpChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the MTP2 Signalling Terminal.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmLocalSIBTime">
+ <description>Total time in local SIB (Sending).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUTransmitted">
+ <description>Number of MSUs transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNacks">
+ <description>Number of negative acknowledgements received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuReceivedInError">
+ <description>Number of signal units received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfReTransmittedOctets">
+ <description>Number of re-transmitted octets.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSendBufferOctets">
+ <description>Number of octets in send buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFReceived">
+ <description>Number of SIO and SIF octets received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSIOSIFTransmitted">
+ <description>Number of SIO &amp; SIF octets transmitted.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfStartedRBCongestion">
+ <description>Number of started local RB congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRemoteSIBTime">
+ <description>Total time in remote SIB (Receiving).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Mtp3bSlChina"/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Free tag for marking this managed object.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>The PlugInUnit MO that this MO references.
+It indicates on which processor the Termination Point will be.
+
+Note: The PlugInUnit must be of type GPB.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUReceived">
+ <description>Number of MSUs received..</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mtp3bSlChina">
+ <description>This MO represents an MTP3b Signalling Link for the China standard. It represents the termination of the signalling link within the signalling point as defined in GF001-9001, plus supplement 1-3.
+
+The total amount of links between any two specific nodes in the network shall not be more than 16, independent on how many linksets that are defined between the two nodes. The signalLinkCode for a link must be unique between the nodes, independent on which linkSet the link belongs to.
+
+The performance monitoring counters found in Mtp3SlChina MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard that is NOT the China standard.</description>
+ <attribute name="Mtp3bSlChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>This attribute reflects the operational status of the Mtp3bSl.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartLink">
+ <description>Controls if the Mtp3b Signalling Link should be activated at create.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="linkCongestLevel">
+ <description>The congestion level of the link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="CongestLevel">
+ <defaultValue>level_0</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkState">
+ <description>The link state of the Mtp3bSl.
+
+The link state is a bit map which contains a combination of the following values: (in hexadecimal format)
+
+0x00 Available
+0x01 Failed
+0x02 Remotely blocked
+0x04 Locally blocked
+0x08 Remotely inhibited
+0x10 Locally inhibited
+0x20 Deactivated</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="prioBeforeSio">
+ <description>This Boolean tells if the message priority shall be inserted in an octet before the Service Indicator Octet (SIO).
+
+China default is false.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="proceduralState">
+ <description>The procedural state of the link.
+
+0 - not initialized
+1 - initialized
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bProceduralState">
+ <defaultValue>NOT_INITIALIZED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="signLinkCode">
+ <description>The signalling link code, SLC, used for this link.
+The signLinkCode for a link must be unique between the nodes,
+independent on in which linkSet the link belongs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tpId">
+ <description>The tpId attribute points out the termination point of the lower layer protocol.
+
+For narrowband this signalling link will be connected to an MTP L2 termination point (Mtp2Tp MO). And for broadband it will be connected to a NNI SAAL termination point (NniSaalTp Mo).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="usageState">
+ <description>There are three possible states for the usageState attribute:
+
+- idle, the Mtp3bSl carries no traffic.
+- active, the Mtp3bSl is working normally, and there is traffic, and it is not congested.
+- busy, the Mtp3bSl is working but is congested (traffic is routed on other links).
+
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bUsageState">
+ <defaultValue>IDLE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALINServiceInd">
+ <description>Performance management counter for number of received link-in-service indications.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAALOUTInd">
+ <description>Performance management counter for number of received link-out-of-service indications.
+
+Note! This counter is incremented every time a link out of service indication is received for the link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBDSent">
+ <description>Performance management counter for number of sent CBD messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOOXCOSent">
+ <description>Performance management counter for number of sent change over order (COO/XCO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestCeaseRec">
+ <description>Performance monitoring counter for number of local link congestion ceased primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLocalLinkCongestRec">
+ <description>Performance monitoring counter for number of local link congestion primitives received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSURec">
+ <description>Performance monitoring counter for number of received MSU's on this signalling link.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMSUSent">
+ <description>Performance monitoring counter for number of sent MSU's on this signalling link.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="activate">
+ <description>This action activates the Mtp3bSl with normal alignment. It will also set autoStartLink = true.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="deactivate">
+ <description>This action deactivates the Mtp3bSl.
+It will also set autoStartLink = false.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="emergencyActivate">
+ <description>This action activates the Mtp3bSl with emergency alignment.
+It will also set autoStartLink = true
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="localInhibit">
+ <description>This action inhibits the Mtp3bSlChina. Signalling link management inhibiting is requested by management when it becomes necessary, e.g for maintenance or testing purposes to make or keep a signalling link unavailable to User Part-generated signalling traffic.
+Inhibiting of a signalling link may be requested by management function at either end of the link. The request is granted, provided that the inhibiting action does not cause any previously accessible destinations to become inaccessible at either end of the signalling link. The request may also be refused under certain circumstances such as congestion.
+Exception FroRequestFailed if it was not possible to inhibit.
+
+Note! This action requires a transaction.
+</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ <action name="localUninhibit">
+ <description>This action uninhibits the Mtp3bSlItu.
+
+Exception FrorequestFailed if it was not possible to uninhibit.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FroRequestFailedException"/>
+ </action>
+ </class>
+
+ <class name="Mtp3bSpChina">
+ <description>Mtp3b Signalling Point for the China standard.
+
+This MO represents/models the MTP3b Signaling Point characteristics/functions according to the China standard.
+A signaling point is a logical node in the signaling network. The combination of signaling points and their interconnecting
+signaling links form the SS No. 7 signaling network. The signaling point represented by this object is a Local Signaling Point.
+
+The performance monitoring counters found in Mtp3SpChina MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is shutdown request from CLI (Cluster Interface).
+
+NOTE: IT IS NOT POSSIBLE TO CREATE SIGNALLING POINTS OF DIFFERENT STANDARDS!
+
+NOTE: This MO cannot in anyway be related (either contain or by dependence) to an MO that belongs to a standard which is NOT the China standard.
+
+NOTE: The combination of network indicator (networkInd) and Signalling Point Code (signallingPointCode) has to be unique for each Signalling Point.
+
+NOTE: A maximum of 15 instances of this MO can be created on (referencing) the same PlugInUnit.
+
+NOTE: The maximum number of Signalling Points that can be created in a CPP based node is 24.
+
+NOTE: Deleting a singel L3 Signal Point is not possible, if it necessary to delete a Signal Point then all Signal Points has to be deleted. This also has to be done whitin the same transaction.
+
+NOTE: Any object created under a certain SP (Signaling Point) cannot refer to an object created under another SP. Nor can it refer to an object created under another SP.</description>
+ <attribute name="Mtp3bSpChinaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeChangeBuf">
+ <description>The maxSizeChangeBuf attribute represents the maximum size (in kB) of the changeover buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxSizeReroutBuf">
+ <description>The maxSizeReroutBuf attribute represents the maximum size (in kB) of the rerouting buffer.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>5000</max>
+ </range>
+ <defaultValue>2000</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="networkInd">
+ <description>The network indicator, NI, is used for discrimination of messages between functionally different networks.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="Mtp3bNetworkInd">
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operationalState attribute reflects the operational status of the MTP3b SP.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MO's. Can be of type SccpSp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="sendSltmBefLink">
+ <description>The sendSltmBefLink attribute determines if the SLTM (Signalling Link Test Message) should be sent and a SLTA received before the link is considered to be in service.
+
+Note! It is not recommended nor is it in accordance with standard to set the value of this attribute to 'false' </description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="signallingPointCode">
+ <description>The signallingPointCode (Local Point Code) attribute (SPC) is used together with the network indicator (NI, networkInd attribute) to uniquely identify the MTP Signalling Point, SP.
+SP = NI-SPC.
+Size (2 exp 24)-1 (16777215).
+The SignallingPointCode (SPC) is nornally used as a whole unique number (no internal structure). But sometimes the SPC is grouped together as three numbers which corresponds to zone, area/network, signalling point. If this is the case the three numbers are coded as 8-8-8 bits in the total SPC. To enter the SPC-value then you have to convert the three numbers to their binary code and then convert the whole 24-bit pattern as an integer.
+ </description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16777215</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nodeBehaviour">
+ <description>The nodeBehaviour attribute represents the MTP3b node type.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="NodeBehaviourType">
+ <defaultValue>SEP</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="noOfCongestLevelForNI">
+ <description>Defines the number of congestion levels for NI.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>3</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="routeSetCongestTestFlag">
+ <description>Selection of which procedure to use during congestion handling if the national option with priorities is used.
+
+0, use TcTimer as described by the TTC standard.
+1, use Route Set Congestion Test as described by the ANSI, ITU and China Standard.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="resendTfcInterval">
+ <description>Send TFC back to originating signalling point code for every n:th message when
+the destination is congested. Set to 0 will suppress sending of TFC.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="sioSpare">
+ <description>The sioSpare attribute determines if the two spare bits in the SIO (Service Information Octet) should be treated as spare.</description>
+ <dataType>
+ <enumRef name="Mtp3bSioSpareBitsUse">
+ <defaultValue>SPARE</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="spPriority">
+ <description>Struct containing the priority attributes.
+
+Note: The China and ITU priorityData is identical so the ItuPriorityData is used for China to avoid duplication of data.</description>
+ <dataType>
+ <structRef name="Mtp3bSpChinaPriorityData"/>
+ </dataType>
+ </attribute>
+ <attribute name="spTimer">
+ <description>Struct containing China specific timer attributes</description>
+ <dataType>
+ <structRef name="Mtp3bSpChinaTimerData"/>
+ </dataType>
+ </attribute>
+ <attribute name="statusIndInterval">
+ <description>The statusIndInterval attribute sets the interval for sending MTP-STATUS indication, i.e. send indication every Nth message .</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="testPatternSltm">
+ <description>The testPatternSltm attribute represents a string testpattern in the Signalling Link Test Message.
+
+Minimum string length 2 characters, maximum 30 characters. Allowed characters are 0-9, A-F.
+Only even number of characters is allowed.
+
+</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>2</min> <max>30</max>
+ </lengthRange>
+ <defaultValue>"A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5"</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="transFrHandler">
+ <description>Determines if a TransFer Restricted signal (TFR) should be treated as a TFA (TransFer Allowed signal) or be ignored.
+</description>
+ <dataType>
+ <enumRef name="Mtp3bTfrHandling">
+ <defaultValue>IGNORED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="rpuId">
+ <description>Holds a reference to the Reliable Program Uniter.
+The RPU is at the server.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ReliableProgramUniter"/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="m3uaNoOfAttempsOfDauds">
+ <description>For M3UA, number of attempts to send the DAUD message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="noOfAttempsOfAssociationEstablishment">
+ <description>Number of attempts to send the SCTP_ASSOCIATE_REQ message.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>65535</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="version">
+ <description>This attribute shows what MTP3b ITU standard the system follows. With ITU, it is possible using this attribute to set which of the ITU standard versions that shall be followed.
+
+Note! Setting of another value than 'ITU_T_07_96' at create will have no effect. The Signaling Point will always be created with the value 'ITU_T_07_96'.
+
+Note! It is not possible to hav signaling points with different versions in the node so setting of this attribute will effect all signaling points. The value for the last created or changed signaling point will be used for all signaling points.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="Mtp3bItuVersion">
+ <defaultValue>ITU_T_07_96</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBARec">
+ <description>Performance management counter for number of received CBA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCBASent">
+ <description>Performance management counter for number of sent CBA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeBackDeclRec">
+ <description>Performance management counter for number of received change Back Declarations (CBD) messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfChangeOverRec">
+ <description>Performance counter for number of changeOver order messages (COO/XCO) received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCARec">
+ <description>Performance management counter for number of received COA/XCA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCOAXCASent">
+ <description>Performance management counter for number of sent COA/XCA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfControlledRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed controlled rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECASent">
+ <description>Performance management counter for number of sent ECA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECARec">
+ <description>Performance management counter for number of received ECA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfECOSent">
+ <description>Performance management counter for number of sent ECO messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfEmergencyChangeOverRec">
+ <description>Performance management counter for number of received emergency changeOver orders (ECO) messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfForcedRerouteSuccessPerf">
+ <description>Performance monitoring counter for number of successfully performed forced rerouting.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTAFirstTimeOutRec">
+ <description>Performance management counter for number of SLTA first time out received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSLTASecondTimeOutRec">
+ <description>Performance management counter for number of SLTA second time out received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTimerT21WasStarted">
+ <description>Performance monitoring counter for number of times the timer T21 was started (i.e. adjacent SP restarted).
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRARec">
+ <description>Performance management counter for number of received TRA messages.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfTRASent">
+ <description>Performance management counter for number of sent TRA messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessControlledRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed controlled reroutings.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessForcedRerouting">
+ <description>Performance monitoring counter for number of unsuccessfully performed forced reroutings.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUPMsgDiscardedDueToRoutingErr">
+ <description>Performance monitoring counter for number of UP (user part) messages (MTP_TRANSFER_req) discarded due to routing error.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocEstablish">
+ <description>Performance management counter for number of successful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocEstablish">
+ <description>Performance management counter for number of unsuccessful association establishment.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpCommunicationErr">
+ <description>Performance management counter for number of SCTP communication error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpSendFailure">
+ <description>Performance management counter for number of SCTP send failure.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpBufOverflow">
+ <description>Performance management counter for number of SCTP stop sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpResumeSending">
+ <description>Performance management counter for number of SCTP resume sending data.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpNetworkStatusChange">
+ <description>Performance management counter for number of SCTP network status change.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSctpAssociationRestart">
+ <description>Performance management counter for number of SCTP association restart.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocAbort">
+ <description>The number of successful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSuccessAssocShutDown">
+ <description>The number of succesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocAbort">
+ <description>The number of unsuccessful abortions of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfUnsuccessAssocShutDown">
+ <description>The number of unsuccesful shutdowns of signalling associations.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIncomingAssocEstabRequestInStateDownWhenStateEstabIsBlocked">
+ <description>The number of incoming request for association establishment when state on the association is 'DOWN' and establishment of associations is blocked. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocEstabReached">
+ <description>The number of times that the max limit for trying to establish an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMaxTrialsForAssocActivReached">
+ <description>The number of times that the max limit for trying to activate an association has been reached.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfLowerPrioMsgDiscarded">
+ <description>The number of messages with low priority that has been discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Mspg">
+ <description>The MSPG (Multiplex Section Protection Group) object enables two different protection mechanism in Cello. First it enables a standard 1+1 MSP mechanism. A failure on the working line will thus cause a sub 50 ms switch to the standby line.
+Secondly the MSPG is used to enable equipment protection, whereas a failure of the working board will cause a switch to the standby board. While executing an equipment protection switch, the node on the other end of the multiplexer section, will experience a standard 1+1 MSP switch.
+
+It is possible to force traffic to one port/board by using administrative state.
+Setting administrative state to locked on the working board, will automatically cause an equipment switch to the standby board. Similarily setting administrative state to locked on the working port, will cause an MSP switch to the standby port.
+
+The process of configuring a MSP protection interface is as follows:
+1) Create the working interface as normal.
+2) Create the standby interface by creating an Os155SpiTtp with mux mode set to STANDBY (standby for protection).
+3) Create a MSPG object with references to the two Os155SpiTtp objects.
+
+Note! The ports selected for MSPG must be have the attribute standardMode configured in exactly the same way.
+
+Note! The Os155SpiTtp created for standby will not create any children, i.e no Vc4Ttp or Vc4Ttp, Vc12Ttp and E1Ttp will be created. Nor will object of type Sts1SpeTtp, Vt15Ttp or T1Ttp be created.</description>
+ <attribute name="os155SpiWorkingId">
+ <description>The working OS155 pyhiscal path termination.
+This is the administrative value.
+
+To retrive the Id of the current working port, use the selectedPort.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Os155SpiTtp"/>
+ </dataType>
+ </attribute>
+ <attribute name="os155SpiStandbyId">
+ <description>The standby OS155 physical path termination.
+This is the administrative value.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Os155SpiTtp"/>
+ </dataType>
+ </attribute>
+ <attribute name="switchMode">
+ <description>The switching mode.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="SwitchModes">
+ <defaultValue>AUTOMATIC</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Mspg.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="MspgId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="selectedPort">
+ <description>The port currently selected by the MSPG. The selected port can only
+change due to a MSP or equipment switch.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Os155SpiTtp"/>
+ </dataType>
+ </attribute>
+ <attribute name="selectedBoard">
+ <description>The board currently providing the user traffic interface to the Cello switch.
+The only action that can change the selected board is an equipment switch.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="degCauseMsp">
+ <description>If set to true, then a swith is performed upon detection of DEG.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <action name="manualMspSwitch">
+ <description>Initiates a switch when there does not exist a defect.
+
+This action can fail for the following reasons:
+FailedResource - the switch was not possible due to a traffic affecting fault on the port to which the switch was requested (i.e. the one not currently selected).
+LockedResource - the port to which the switch is intended is administratively locked.
+UnknownReason - there exists another fault that prevents the action.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="FailedResourceException"/>
+ <raisesException name="LockedResourceException"/>
+ <raisesException name="UnknownReasonException"/>
+ </action>
+ </class>
+
+ <class name="T1PhysPathTerm">
+ <description>This MO represents an T1 (i.e. 1.5 Mbit/s) physical interface in the node. The T1PhysPathTerm MO does not define which type of traffic (TDM or ATM) that the interface should support.
+Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+</description>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="T1PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.
+</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter for Errored Seconds, ES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Severly Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="idlePattern">
+ <description>The idle pattern.
+
+NOTE: Going from A_LAW or U_LAW to UNDEFINED is always allowed but setting up one ET board with
+both U_LAW and A_LAW is not allowed.
+E.g. If you want to change from A_LAW to U_LAW on one ET board you have to change idlePattern
+on each physical port belonging to the board to UNDEFINED first.
+A_LAW -&gt; UNDEFINED -&gt; U_LAW or U_LAW -&gt; UNDEFINED -&gt; A_LAW</description>
+ <dataType>
+ <enumRef name="IdlePattern">
+ <defaultValue>UNDEFINED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="transmissionMode">
+ <description>The type of transmission media.
+Refer to the TransmissionMode enumeration for details.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="TransmissionMode">
+ <defaultValue>S_133</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ImaGroup">
+ <description>IMA, Inverse Multiplexing for ATM, makes it possible to group several physical
+interfaces together to form a virtual physical pipe for atm. The capacity of this
+pipe is equal to the sum of each of the physical interfaces included in the
+group.
+
+When IMA should be configured, an ATMPort MO is created with reference to an
+IMAGroup MO rather than a pure physical interface MO.
+The IMAGroup MO in turn is created with a list of the physical port MO's that
+are included in the group. The physical port MO's must be of the same type
+and be located on the same ET board.
+
+For each of the physical port MO's included in the IMAGroup, an IMALink object
+is automatically created. The IMALink object is responsible for handling link
+specific performance and fault management related to IMA.
+
+The ports can be of type E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp, T1Ttp.
+
+The performance monitoring counters in the ImaGroup MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! A general restriction in Cello is that ports being part of the same IMA group
+must be located on the same board.
+
+Note! Only termination points on Etmc1 and Etmc41 boards are allowed as ImaLinks.
+
+Note! At least two links has to be configured in an Ima Group.</description>
+ <attribute name="ImaGroupId">
+ <description>The identity of the MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="physicalPortList">
+ <description>Holds a list of the physical ports connected to the ImaGroup.
+Can be of type:
+E1PhysPathTerm,
+J1PhysPathTerm,
+T1PhysPathTerm,
+E1Ttp,
+T1Ttp.</description>
+ <mandatory/>
+ <noNotification/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="requiredNumberOfLinks">
+ <description>The required number of links specifies the number of IMALinks that needs to be
+operational in order for the complete group to be operational. The bandwidth
+available for the ATMPort (and thus for creating VP/VC connections) is defined
+by this number.
+Setting the requiredNumberOfLinks field to K less than the number of physical
+links included in the IMAGroup, will reduce the bandwidth available to the atmport
+with the corresponding bandwidth but will also give the effect that K links
+failures can be tolerated with virtually no traffic disturbance.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>8</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="activeLinks">
+ <description>The number of active links in the ImaGroup.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ImaGroup.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmGrUasIma">
+ <description>This performance counter reflects the GrUasLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmGrFc">
+ <description>This performance counter reflects the GrFc attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmGrFcFe">
+ <description>This performance counter reflects the GrFcFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="ImaLink">
+ <description>This MO is automatically created as a consequence of creating an IMAGroup or
+adding links to an IMAGroup.
+It provides IMA Link specific performance and fault management information.
+
+The links can be of type E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp or T1Ttp.
+
+The performance monitoring counters in the ImaLink MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! A general restriction in Cello is that ports being part of the same IMA group
+must be located on the same board.</description>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the ImaLink.
+If the operationalState is disabled the ImaLink will be blocked for traffic.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmIvIma">
+ <description>This performance counter reflects the LvLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ImaLinkId">
+ <description>The identity of the MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="pmOifIma">
+ <description>This performance counter reflects the OifLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesIma">
+ <description>This performance counter reflects the SesLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesImaFe">
+ <description>This performance counter reflects the SesLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUasIma">
+ <description>This performance counter reflects the UasLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUasImaFe">
+ <description>This performance counter reflects the UasLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxUusIma">
+ <description>This performance counter reflects the TxUusLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxUusIma">
+ <description>This performance counter reflects the RxUusLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxUusImaFe">
+ <description>This performance counter reflects the TxUusLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxUusImaFe">
+ <description>This performance counter reflects the RxUusLmaFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxFc">
+ <description>This performance counter reflects the TxFc attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxFc">
+ <description>This performance counter reflects the RxFc attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxFcFe">
+ <description>This performance counter reflects the TxFcFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxFcFe">
+ <description>This performance counter reflects the RxFcFe attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmTxStuffIma">
+ <description>This performance counter reflects the TxStuffLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmRxStuffIma">
+ <description>This performance counter reflects the RxStuffLma attribute as defined by Inverse Multiplexing for ATM(IMA) specification ver. 1.1, AF-PHY-0086.001</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="uses">
+ <description>Reference to the physical port represented by this MO.
+Can be of types E1PhysPathTerm, J1PhysPathTerm, T1PhysPathTerm, E1Ttp or T1Ttp.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Sts3CspeTtp">
+ <description>This MO represents the termination of the SONET higher order paths.
+This MO is automatically created when creating the OS155SPITTP with mux mode
+set to VC4_STS3CSPE_LEVEL and standardMode set to ANSI.
+
+The performance monitoring counters in the Sts3CspeTtp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="Sts3CspeTtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>User label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Sts3CspeTtp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aisPReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiPReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+The string can be of 64 characters length, or empty string ("").
+The string shall consist of 62 ASCII characters followed by CR/LF.
+The empty string shall be coded with the first character equal to ASCII null (i.e. byte value 0) and the rest dummy or non-existing.
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled. This attribute is set to empty string every time attribute pathTraceFormat is set.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+
+The string shall consist of 62 ASCII characters followed by CR/LF.
+The empty string shall be coded with the first character equal to ASCII null (i.e. byte value 0) and the rest dummy or non-existing.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Identity of the AtmPort reserving this MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmEsp">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesp">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="WebServer">
+ <description>The WebServer MO represents the WebServer in the node.
+
+This object is used for configuration of the WebServer paths. By connecting this object to a
+SwAllocation MO that is connected to a Repertoire MO the WebServer paths will be
+automatically configured during system upgrade.
+
+The local distinguished name of this MO is ManagedElement=1, WebServer=1.
+
+The WebServer MO is created automatically by the system.</description>
+ <systemCreated/>
+ <attribute name="WebServerId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="webServerPaths">
+ <description>Paths that shall be used by the WebServer separated by the delimiter character ":".</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySwAllocation">
+ <description>List of reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="SwAllocation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="IpAccessAutoConfig">
+ <description>This MO represents the Auto Configuration feature for the IpAccessHost's in Cello.
+
+</description>
+ <attribute name="IpAccessAutoConfigId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Hold a list of the identitys of the reserving IpAccessHost's.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix">
+ <description>The network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nrOfUnusedAdresses">
+ <description>The number of addresses in the range that are not used.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="totalNrOfAddresses">
+ <description>The total number of addresses in the range.
+
+Note that the first address in the range will be the same one as the one for networkAddress.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="minIpAddress">
+ <description>The lowest value that can be used as host IP address. If autoConfig=ON for an IpAccessHost, the operator does not explicitly have to assign an Ip Address and prefixLength to the host. This is done by the Control Plane, based on the IpAccesAutoConfig identity specified for the IpAccessHost.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="maxIpAddress">
+ <description>The highest value that can be used as host IP address. If autoConfig=ON for an IpAccessHost, the operator does not explicitly have to assign an Ip Address and prefixLength to the host. This is done by the Control Plane, based on the IpAccesAutoConfig identity specified for the IpAccessHost.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="IpAccessHostMsb">
+ <description>This MO represents the Ip Access Host used for payload. This host type executes on a MSB board (Media Stream Board used by Media Gateway).
+
+Note! If autoConfig is set to ON, the Ip Addresses and the Prefixes for this MO is set by the IpAccessAutoConfig MO.
+
+Note! There can be a maximum of 128 host's in the node.
+
+Note! The references to Aal5TpVccTp MO's has to be set in pairs.
+
+Note! The IpAccessMsbHost must execute on the sam MP as the Aal5TpVccTp that are defined in the attributes 'aal5TpVccTpRef1' and 'aal5TpVccTpRef2'.
+
+Note! The 'administrativeState' has to be set to LOCKED in order to be able to delete this host.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ip Access Host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>DEGRADED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the Ip Access Host.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimer">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="dspId">
+ <description>The identity of the DSP (Digital Signalling Processor) on a MSB board.
+
+Note! The value of this attribute has to be unique among the host's that execute on the same board.</description>
+ <mandatory/>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpRef1">
+ <description>Holds a reference to an Aal5 termination point (Aal5TpVccTp MO).
+
+Note! The Aal5TpVccTp references are mutually exclusive.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="aal5TpVccTpRef2">
+ <description>Holds a reference to an Aal5 termination point (Aal5TpVccTp MO).
+
+Note! The Aal5TpVccTp references are mutually exclusive.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Aal5TpVccTp"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfig">
+ <description>This attribute specifies whether the auto configuration feature for the Ip Access Host is used or not.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AutoConfigurationMode">
+ <defaultValue>OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigIdentity">
+ <description>The identity of the IpAccessAutoConfig MO used by this host.
+
+Note! This attribute can only be set if 'autoConfig' is set to 'ON'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessAutoConfig"/>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The IpAddress.
+
+Note! If autoConfig = OFF this attribute is mandatory.
+
+Note! If the autoConfiguration is used for this IpAccessHost (autoConfig = ON) this attribute cannot be set. This attribute is then set by the 'IpAccessAutoConfig MO'.
+
+Note! The IpAddress has to be unique within the node.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix">
+ <description>The network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.
+
+Note! If auto configuration is used (autoConfig = ON) this attribute cannot be set, the networkPrefix is then set in the ipAccessAutoConfig MO class.
+
+Note! If auto configuration is not used (autoConfig = OFF) this attribute must be set.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="linkStatusAddress">
+ <description>The link Status for Ip Address.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtu">
+ <description>The maximum transfer unit.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.
+
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>72</min> <max>2048</max>
+ </range>
+ <defaultValue>1024</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ipDefaultTtl">
+ <description>The default value inserted into the Time-To-Live field of the IP header of datagrams originated at this entity,
+whenever a TTL value is not supplied by the transport layer protocol.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInReceives">
+ <description>The total number of input datagrams received from interfaces, including those received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInHdrErrors">
+ <description>The number of input datagrams discarded due to errors in their IP headers, including bad checksums, version number
+mismatch, other format errors, time-to-live exceeded, errors discovered in processing their IP options, etc.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInAddrErrors">
+ <description>The number of input datagrams discarded because the IP address in their IP header's destination field was not a
+valid address to be received at this entity. This count includes invalid addresses (e.g., 0.0.0.0) and addresses of
+unsupported Classes (e.g., Class E). For entities which are not IP routers and therefore do not forward datagrams,
+this counter includes datagrams discarded because the destination address was not a local address.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInUnknownProtos">
+ <description>The number of locally-addressed datagrams received successfully but discarded because of an unknown or
+unsupported protocol.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDiscards">
+ <description>The number of input IP datagrams for which no problems were encountered to prevent their continued processing, but which
+were discarded (e.g., for lack of buffer space). Note that this counter does not include any datagrams discarded while
+awaiting re-assembly.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDelivers">
+ <description>The total number of input datagrams successfully delivered to IP user-protocols (including ICMP).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutRequests">
+ <description>The total number of IP datagrams which local IP user-protocols (including ICMP) supplied to IP in requests for
+transmission. Note that this counter does not include any datagrams counted in ipForwDatagrams</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutDiscards">
+ <description>The number of output IP datagrams for which no problem was encountered to prevent their transmission to their
+destination, but which were discarded (e.g., for lack of buffer space). Note that this counter would include
+datagrams counted in ipForwDatagrams if any such packets met this (discretionary) discard criterion.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInMsgs">
+ <description>The total number of ICMP messages which the entity received.
+Note that this counter includes all those counted by icmpInErrors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInErrors">
+ <description>The number of ICMP messages which the entity received but determined as having
+ICMP-specific errors (bad ICMP checksums, bad length, etc.).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInTimeExcds">
+ <description>The number of ICMP Time Exceeded messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInParamProbs">
+ <description>The number of ICMP Parameter Problem messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInSrcQuenchs">
+ <description>The number of ICMP Source Quench messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInRedirects">
+ <description>The number of ICMP Redirect messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchos">
+ <description>The number of ICMP Echo (request) messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchoReps">
+ <description>The number of ICMP Echo Reply messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutMsgs">
+ <description>The total number of ICMP messages which this entity attempted to send.
+Note that this counter includes all those counted by icmpOutErrors.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutErrors">
+ <description>The number of ICMP messages which this entity did not send due to problems discovered within ICMP such as a lack of
+buffers. This value should not include errors discovered outside the ICMP layer such as the inability of IP to route the
+resultant datagram. In some implementations there may be no types of error which contribute to this counter's value.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutParmProbs">
+ <description>The number of ICMP Parameter Problem messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchos">
+ <description>The number of ICMP Echo (request) messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchoReps">
+ <description>The number of ICMP Echo Reply messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInDatagrams">
+ <description>The total number of UDP datagrams delivered to UDP users.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpNoPorts">
+ <description>The total number of received UDP datagrams for which there was no application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInErrors">
+ <description>The number of received UDP datagrams that could not be delivered for
+reasons other than the lack of an application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpOutDatagrams">
+ <description>The total number of UDP datagrams sent from this entity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="IpAccessHostMsbId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="plugInUnitId">
+ <description>Holds a reference to the PlugInUnit.
+
+Note that the 'plugInUnitId has to be the same as the one defined in the attribute 'processorId' found on the Aal5TpVccTpMo.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="PlugInUnit"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2QosProfile">
+ <description>This MO represents the definitions of properties for a set of Aal2 quality of service classes.</description>
+ <attribute name="Aal2QosProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassA">
+ <description>This attribute holds the values applicable for QoS Class A.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassA"/>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassB">
+ <description>This attribute holds the values applicable for QoS Class B.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassB"/>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassC">
+ <description>This attribute holds the values applicable for QoS Class C.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassC"/>
+ </dataType>
+ </attribute>
+ <attribute name="profileClassD">
+ <description>This attribute holds the values applicable for QoS Class D.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="QoSProfileClassD"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>List of reserving Aal2PathVccTp MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2PathVccTp"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Aal2QosCodePointProfile">
+ <description>This MO represents the QoS CodePoints for the different Aal2 QoS classes.</description>
+ <attribute name="Aal2QosCodePointProfileId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointA">
+ <description>The Code Point for Class A.
+
+Recommended value for Class A, 128.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointB">
+ <description>The Code Point for Class B.
+
+Recommended value for Class B, 129.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointC">
+ <description>The Code Point for Class C.
+
+Recommended value for Class C, 130.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="qualityOfServiceCodePointD">
+ <description>The Code Point for Class D.
+
+Recommended value for Class D, 131.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds the identity of the reserving Aal2Ap Mo.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Aal2Ap"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="M3uAssociation">
+ <description>This MO represents the M3UA Association part of the M3UA (MTP3-User Adaptaion Layer) that is a protocol
+for the transport of any SS7 MTP3-User signalling (e.g., ISUP and SCCP messages) over IP using the services
+of the Stream Control Transmission Protocol.
+
+Note that the local port number is hard coded to 2905.
+
+
+</description>
+ <attribute name="M3uAssociationId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving Signaling Route's (Mtp3bSr MO).
+</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="Mtp3bSr"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The Operational State of the M3Ua</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bSrsId">
+ <description>The identity of the Signalling Route Set used.</description>
+ <mandatory/>
+ <noNotification/>
+ <nonPersistent/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bSrs"/>
+ </dataType>
+ </attribute>
+ <attribute name="sctpId">
+ <description>The SctpHost used by this association.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Sctp"/>
+ </dataType>
+ </attribute>
+ <attribute name="dscp">
+ <description>The DSCP, DiffServCodePoint, for the internal M3UA user.
+Only one DSCP value is valid and set by the first defined MO 'instance'.
+
+NOTE: The value set for the 'dscp' when creating the first M3uAssociation under a signaling point will also be used for all other M3uAssociation MO's that are created under this signaling point. So setting the value for the 'dscp' on other instances than the first one created will have no effect. Note that associations created under a different signaling point can have a different dscp-value. </description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>63</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="associationState">
+ <description>The possible states of an M3Ua association.
+
+Bit 1 = 1 indicates INACTIVE
+Bit 2 = 1 indicates ACTIVE
+Bit 3 = 1 indicates ESTABLISHED
+Bit 4 = 1 indicates DOWN
+
+These values are mutually exclusive.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>15</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="localIpMask">
+ <description>This attribute denotes whether single or multi homing is used.
+
+Note! that all M3uAssociations that refers to the same SCTP MO must have this attribute set to the same value.
+
+Note! If localipMask=2 or localipMask=3 . the attribute ipAddress2 or autoConfigIdentity2 in IpAccessHostGpb MO must be defined.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="HomingState">
+ <defaultValue>SINGLE_HOMED_1</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoStartAssociation">
+ <description>If true, the M3ua layer in the stack will after a restart, start up all signalling associations.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="remotePortNumber">
+ <description>The port number of the remote signalling point (SP).</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>65535</max>
+ </range>
+ <defaultValue>2905</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="remoteIpAddress1">
+ <description>Remote IpAddress 1, if not used the value should be an empty string.
+Range is 0.0.0.0 - 255.255.255.255
+
+Note! This address is concidered as the primary IP-address. In case of association establisment failure 'remoteIpAddress2' will be used.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="remoteIpAddress2">
+ <description>Remote IpAddress 2, if not used the value should be an empty string.
+Range is 0.0.0.0 - 255.255.255.255
+
+Note! This address cannot be the same as remoteIpAddress1. This address is used when trying to establish association in case association attempt using 'remoteIpAddress1' fails.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCommunicationLost">
+ <description>Number of communication losses.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfCongestions">
+ <description>Number of congestions.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDunaRec">
+ <description>Number of DUNA messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDavaRec">
+ <description>Number of DAVA messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSconRec">
+ <description>Number of SCON messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDupuRec">
+ <description>Number of DUPU messages received through the association.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDataMsgSent">
+ <description>The number of data messages sent on the associations related to this signalling point.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDunaSent">
+ <description>Number of DUNA messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDavaSent">
+ <description>Number of DAVA messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfSconSent">
+ <description>Number of SCON messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDupuSent">
+ <description>Number of DUPU messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDataMsgRec">
+ <description>Number of DATA (payload data) messages received through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfErrorMsgRec">
+ <description>Number of ERROR messages received through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfErrorMsgSent">
+ <description>Number of ERROR messages sent through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfNotifyMsgRec">
+ <description>Number of NOTIFY messages received through the association.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDaudMsgSent">
+ <description>The number of daud messages sent on the associations related to this signalling point.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfDaudMsgRec">
+ <description>The number of daud messages received on the associations related to this signalling point.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupSent">
+ <description>The number of ASPUP sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupReceived">
+ <description>The number of ASPUP received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnSent">
+ <description>The number of ASPDN sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnReceived">
+ <description>The number of ASPDN received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacSent">
+ <description>The number of ASPAC sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacReceived">
+ <description>The number of ASPAC received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaSent">
+ <description>The number of ASPIA sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaReceived">
+ <description>The number of ASPIA received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupAckSent">
+ <description>The number of ASPUP_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspupAckReceived">
+ <description>The number of ASPUP_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnAckSent">
+ <description>The number of ASPDN_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspdnAckReceived">
+ <description>The number of ASPDN_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacAckSent">
+ <description>The number of ASPAC_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspacAckReceived">
+ <description>The number of ASPAC_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaAckSent">
+ <description>The number of ASPIA_Ack sent.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfAspiaAckReceived">
+ <description>The number of ASPIA_Ack received.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfM3uaDataMsgDiscarded">
+ <description>The number of data Messages discarded.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <action name="setAutoActivate">
+ <description>This action starts the association.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="setAutoDown">
+ <description>This action stops the association.
+
+Note! This action requires a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="Sctp">
+ <description>This MO represents the SCTP, Stream Control Transmission Protocol in Cello.
+SCTP is designed to transport signaling messages over IP networks.</description>
+ <attribute name="SctpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ip Access Host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="numberOfAssociations">
+ <description>The maximum number of M3uAssociations that can be handled by this SCTP MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>512</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the M3Ua's handled by the SCTP.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="M3uAssociation"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="ipAccessHostGpbId">
+ <description>The identity of the IpAccessHostGbp reserved by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessHostGpb"/>
+ </dataType>
+ </attribute>
+ <attribute name="minimumRto">
+ <description>The minimum value for RTO (Retransmission time-out).
+The minimumRto shall be larger than tSack + expected Round Trip Time.
+
+Unit is second/100.
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>4</min> <max>500</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maximumRto">
+ <description>The maximum value for RTO (Retransmission time-out).
+
+Unit is second/100.
+
+maximumRto must be larger than minimumRto.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>4</min> <max>180000</max>
+ </range>
+ <defaultValue>15</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="initialRto">
+ <description>The initial value that the RTO (Retransmission time-out) will take prior to the first RTT (Round Trip Time) measure.
+
+Note that the value for 'initialRto' has to be equal to or greater than 'minimumRto'.
+
+Unit is seconds/100.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>4</min> <max>180000</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rtoAlphaIndex">
+ <description>This parameter is used for computing the value of the SRTT (Smoothed Round Trip Time) for a specific destination
+address. Is is used to weight the RTT values, and it's value must be between 0 and 1 (0 &lt; rtoAlpha &lt; 1). A value
+close to 1 gives a higher importance to the last RTT measurement.
+The range of possible values in the configuration file and the actual value given to this parameter within the module
+are the following:
+
+rtoAlphaIndex = 1 -&gt; RTO-Alpha = 1/2
+rtoAlphaIndex = 2 -&gt; RTO-Alpha = 1/4
+rtoAlphaIndex = 3 -&gt; RTO-Alpha = 1/8
+rtoAlphaIndex = 4 -&gt; RTO-Alpha = 1/16</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>4</max>
+ </range>
+ <defaultValue>3</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="rtoBetaIndex">
+ <description>This parameter is used for computing the the value of RTTVar (Round Trip Time Variation) of a destination
+address.
+It's value must be between 0 and 1 (0 &lt; rtoBetaIndex &lt; 1). A value close to 1 gives more importance to the
+last observed variation.
+The range of possible values in the configuration file and the actual value given to this parameter within the module
+are the following:
+
+rtoBetaIndex = 1 -&gt; RTO-Beta = 1/2
+rtoBetaIndex = 2 -&gt; RTO-Beta = 1/4
+rtoBetaIndex = 3 -&gt; RTO-Beta = 1/8
+rtoBetaIndex = 4 -&gt; RTO-Beta = 1/16
+</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>4</max>
+ </range>
+ <defaultValue>2</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="validCookieLife">
+ <description>This parameter sets up the lifespan of the State Cookie sent in the INIT_ACK chunk. It is used for limiting the
+the valid amount of time between sending the INIT_ACK and the reception of a COOKIE_ECHO chunk when
+establishing an association.
+If a COOKIE_ECHO chunk arrives after 'validCookieLife' has expired when sending an INIT_ACK chunk, the
+COOKIE_ECHO shall be discarded and no association will be established.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>180</max>
+ </range>
+ <defaultValue>60</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="allowedIncrementCookieLife">
+ <description>The sender of an INIT chunk may request to the remote endpoint a cookie life-span increase (for example, if a previous attempt to
+connect failed due to a state-cookie error). If the value sent in the "Cookie Preservative field" of the INIT chunk is less or equal to
+this parameter, the reciver of the INIT chunk will increase the 'validCookieLife' with the number of seconds specified. If the value
+present in the "Cookie Preservative field" is higher than this parameter, the cookie life should be incremented with the number of
+seconds specified by the parameter.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>180</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="keyChangePeriod">
+ <description>Defines how often the secret key used for computing the MAC (Message Authentication Code) on the State Cookie is changed.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>180</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="associationMaxRtx">
+ <description>This is the maximum number of consecutive retransmissions to a remote peer (on all the destination transport addresses of the peer, if it's multi homed). If the number of retransmissions becomes higher than this value, the remote peer shall be considered unreachable and the association shall be closed down.
+
+The value of this attribute should be greater than, or equal to the value of the attribute 'pathMaxRtx'.
+
+Note that for a specific association, it might happen that all the remote addresses (if the the remote end is multi homed) becomes unreachable without exceeding the 'associationMaxRtx'. In such a case the association will be closed down.
+
+Note! The value need to be increased to avoid frequent CommLost's in case of an unstable network.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>20</max>
+ </range>
+ <defaultValue>2</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pathMaxRtx">
+ <description>Maximum number of consecutive retransmissions to a remote transport address. If the number of retransmissions becomes higher than this value, the remote transport address shall be considered as "inactive" and no data shall be sent to it. If all of the remote transport addresses becomes "inactive", tha association will be closed down.
+
+Note! The value need to be increased to avoid frequent CommLost's in case of an unstable network.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>20</max>
+ </range>
+ <defaultValue>2</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxInitialRtrAtt">
+ <description>The maximum nimber of retransmissions allowed for both INIT and COOKIE_ECHO chunks. If the number of retransmissions
+exceeds this value, then the SCTP must abort the initialization of the association and report the error to the user.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16</max>
+ </range>
+ <defaultValue>8</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxShutDownRtrAtt">
+ <description>The maximum number of retransmission during the shutdown phase of an association.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="heartbeatInterval">
+ <description>The amount of time added to the RTO (Retransmission Time Out) of a specific address when setting up the period of
+time between sending heartbeats. Small values can lead to an earlier detection of unreachable addresses than higher
+values. Note that sendig often might lead to performace penalty.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>1800</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="heartbeatStatus">
+ <description>This attribute enables/disables heartbeats for associations.
+
+True = Enables</description>
+ <dataType>
+ <boolean>
+ <defaultValue>true</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="maxIncomingStream">
+ <description>This is the maximum incoming streams (MIS) for an association. Established associations might have an IS less or equal to
+this, but it's MIS can never be higher than the value of this attribute.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16384</max>
+ </range>
+ <defaultValue>17</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxOutgoingStream">
+ <description>The maximum number of outgonig streams for an association.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16384</max>
+ </range>
+ <defaultValue>17</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxUserDataSize">
+ <description>The maximum number of bytes a user is allowed to pass as a non fragmentable user data in a SCTP_SEND_REQ message
+(fixed user MTU):
+The values for this attribute is 'user' data and do not include IP header (20 bytes), SCTP header (12 bytes) or DATA chunk
+header (16 bytes).
+
+Unit is bytes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>528</min> <max>65484</max>
+ </range>
+ <defaultValue>1432</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="mBuffer">
+ <description>This attribute sets the size of the buffer used for storing user data pending to be sent or retransmitted in an association. I.e. establish the maximum amount of user data that SCTP shall buffer before discarding user messages.
+
+The Unit is in kilo bytes.
+
+Note! The restriction on this attribute is:
+mBuffer x number of M3uAssociation MOs connected to this Sctp + 20 Mb &lt;= the heap for Sctp program.
+
+Note! The value may need to be increased to ensure stable dataflow at high load.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ <defaultValue>128</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nThreshold">
+ <description>This attribute sets the value of the threshold used by SCTP to stop the delivery of data on anassociation.
+Once nThreshold or more bytes are queued and pending to be sent, the SCTP layer shall issue an indication to the user.
+The value of nThreshold should be less than mBuffer in order to be effective. A normal value for nThreshold is 3/4 * mBuffer.
+
+Unit is kilo bytes.
+
+Note! The value may need to be increased to ensure stable dataflow at high load.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2048</max>
+ </range>
+ <defaultValue>96</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="tSack">
+ <description>The delay of sending the SACK. I.e. the time from DATA chunk reception to Sack chunk sending.
+
+Unit is second/100</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>50</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxDataSizeIp">
+ <description>The maximum number of bytes SCTP shall be able to fetch every time it reads from the socket.
+
+Note! This value includes the Ip header, 20 bytes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1500</min> <max>65535</max>
+ </range>
+ <defaultValue>8192</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="initialAdRecWin">
+ <description>The value of the initial advertised reciver window.
+
+Note! The range is 1500 to maxDataSizeIp.
+
+Unit is bytes.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1500</min> <max>65535</max>
+ </range>
+ <defaultValue>8192</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="intervalOobPkts">
+ <description>The number of seconds for the interval for which the counter for out of the blue chunks will be reset.
+
+Unit is seconds.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>6500</max>
+ </range>
+ <defaultValue>3600</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="intervalLostUser">
+ <description>The number of seconds for the interval for which the SCTP will decide that the SCTP user that lost the
+connection is dead. After the time specified in this attribute has elapsed, all associations related to the
+SCTP user will be destroyed.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>3600</max>
+ </range>
+ <defaultValue>5</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="maxBurst">
+ <description>The number of packages that will be sent during the fast retransmit phase when a SACK is processed.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>16384</max>
+ </range>
+ <defaultValue>4</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nPercentage">
+ <description>The size of 'n' inpercentage when SCTP_CONGESTION_CEASE_IND is sent. At 100 percent the
+primitive will buffer usage goes below 'nThreshold'.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>100</max>
+ </range>
+ <defaultValue>85</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="bundlingActivated">
+ <description>This attribute enables/disables the use of bundling.
+Valid values:
+1: enabled.
+0: disabled.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1</max>
+ </range>
+ <defaultValue>1</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="bundlingTimer">
+ <description>This attribute specifies maximum SCTP bundling delay.
+Value=0 means that SCTP will only bundle what is available and send directly (no delay).
+Unit is milliseconds</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>1000</max>
+ </range>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpCurrEstab">
+ <description>Number of SCTP associations for which the current state is either ESTABLISHED, SHUTDOWN-PENDING, or SHUTDOWN-RECEIVED.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpActiveEstab">
+ <description>Number of times that SCTP associations have made a direct transition to the ESTABLISHED state from the COOKIE-ECHOED state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpPassiveEstab">
+ <description>Number of times that SCTP associations have made a direct transition to the ESTABLISHED state from the CLOSED state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpAborted">
+ <description>Number of times that SCTP associations have made a direct transition to the CLOSED state from any state using the primitive ABORT.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpShutdowns">
+ <description>Number of times that SCTP associations have made a direct transition to the CLOSED state from either the SHUTDOWN-SENT state or the SHUTDOWN-ACK-SENT state.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatAssocOutOfBlue">
+ <description>Number of out of the blue packets (SCTP packet correctly formed-right checksum- but the receiver is not able to identify the association to which this packet belongs) received by the host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentChunks">
+ <description>Number of complete data chunks sent to the peers (no retransmissions included).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatRecChunks">
+ <description>Number of complete data chunks received from the peers (no retransmissions included).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatOutOfOrderSendChunks">
+ <description>Number of unordered chunks sent to the peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatOutOfOrderRecChunks">
+ <description>Number of unordered chunks received from the peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatChecksumErrorCounter">
+ <description>Number of SCTP packets received from the peers with an invalid checksum.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatRetransChunks">
+ <description>Number of data chunks retransmitted to the peers.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentControlChunks">
+ <description>Number of datagrams sent with chunk type id &gt; 0.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatReceivedControlChunks">
+ <description>Number of datagrams Receivedwith chunk type id &gt; 0.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatFragmentedUserMsg">
+ <description>Number of fragmented user messages, incremented when the first data chunk of a fragmented message is sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatReassembledUserMsg">
+ <description>Number of reassembled user messages, incremented when the first data chunk of a fragmented message is received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentPackages">
+ <description>Number of SCTP packages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatReceivedPackages">
+ <description>Number of SCTP packages Received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatCommStop">
+ <description>Number of times the SCTP has sent a communication stop indication to the user.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatCommResume">
+ <description>Number of times SCTP has sent a communication resume indication to the user.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatSentChunksDropped">
+ <description>Number of sent chunks that SCTP has been forced to drop due to buffer overflow in the sending buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSctpStatRecChunksDropped">
+ <description>Number of received chunks that SCTP has been forced to drop due to buffer overflow in the receiving buffer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Sts1SpeTtp">
+ <description>This MO represents the termination of the SONET higher order paths.
+This MO is automatically created when creating the OS155SPITTP with mux mode
+set to E1_J1_T1_LEVEL and standardmode set to ANSI.
+
+The performance monitoring counters in the Sts1SpeTtp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large to fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="Sts1SpeTtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Sts3CspeTtp.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aisPReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiPReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="timConsequentAction">
+ <description>Trace Identifier Mismatch (TIM) consequent action, i.e. for generation of Alarm Indication Signal (AIS) downstream and Remote Defect Indication (RDI) upstream as a consequence of the TIM detection.
+
+True - enabled.
+False - disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="expectedPathTrace">
+ <description>Expected path trace.
+
+The string can be of 64 characters length, or empty string ("").
+
+Note: An empty string for this attribute means that the detection of Trace Identifier Mismatch (TIM) should be disabled. This attribute is set to empty string every time attribute pathTraceFormat is set.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="transmittedPathTrace">
+ <description>The transmitted path trace.
+Can be defined in two formats. The format may be in 16 or 64 characters. Usually 16 is used for ETSI and 64 for ANSI/TTC.
+
+Note: The string must be of 15 or 62 characters length, or empty string ("").</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>64</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pmEsp">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesp">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Vt15Ttp">
+ <description>This MO is automatically created when the configuring the Os155SpiTtp. It represents the termination of the SONET VP 1.5 path layer.
+
+This MO is automatically created when creating the Os155SpiTtp MO with the following conditions:
+muxmode = E1_J1_T1_LEVEL
+standardmode = ANSI
+
+The performance monitoring counters in the Vt15Ttp is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+</description>
+ <systemCreated/>
+ <attribute name="Vt15TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="aisVreporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiVreporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimer">
+ <description>This timer defines the time that elapses before shut down when administrativeState is se to 'shuttingDown'.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Vt15Ttp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the Vt15Ttp MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter of Errored Seconds (ES).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Serverely Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="T1Ttp">
+ <description>This MO represents a channelised T1 interface in the node. The T1Ttp MO does not define which type of traffic (TDM or ATM) that the interface should support. Please refer to the Ds0Bundle, AtmPort, IMALink and IMAGroup objects for details about configuration of traffic type.
+
+The performance monitoring counters in the T1Ttp MO is set to 0 (zero) at start or restart of the node.
+When the value is to large too fit in to a long (31bit) the counter turns over and starts from 0 again.
+
+Note! This MO is automatically created as a consequence of creating an Os155SpiTtpMo
+Please refer to the Os155SpiTtpMo for more details.</description>
+ <systemCreated/>
+ <attribute name="T1TtpId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the T1Ttp MO.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance monitoring counter of Errored Seconds (ES).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance monitoring counter for Serverely Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving AtmPort MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByImaLink">
+ <description>The identity of the reserving ImaLink MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ImaLink"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="E3PhysPathTerm">
+ <description>This MO represents an E3 (i.e. 34 Mbit/s) physical interface in the node. Please refer to the AtmPort object for details about configuration of traffic type.
+
+Note! The performance monitoring counters in the E3PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="E3PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the E3PhysPathTerm.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="degDegThr">
+ <description>The threshold decides how many of the received blocks that are allowed to have bit errors. The measurement is made on a per-second basis and if the threshold is passed the second is considered bad.
+
+This is a percentage value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100</max>
+ </range>
+ <defaultValue>30</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="degDegM">
+ <description>How many bad seconds in a row that causes a Degraded Signal alarm.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>10</max>
+ </range>
+ <defaultValue>7</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmEs">
+ <description>Performance Monitoring counter for ES, Errored Seconds.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSes">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="T3PhysPathTerm">
+ <description>This MO represents an T3 (i.e. 45 Mbit/s) physical interface in the node. Please refer to the AtmPort object for details about configuration of traffic type.
+
+Note! The performance monitoring counters in the T3PhysPathTerm MO is set to 0 (zero) at start or restart of the node.
+When the value is too large too fit in to a long (31bit) the counter turns over and starts from 0 again.</description>
+ <attribute name="T3PhysPathTermId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>enabled or disabled according to the actual operational state of the Physical Line Termination. </description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the T3PhysPathTerm MO.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimeout">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>100000</max>
+ </range>
+ <defaultValue>1800</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="lineNo">
+ <description>The actual Line number on the board.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>2</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="loopback">
+ <description>Possible values
+
+none - This is the normal state, no loop back is performed (and ordinary traffic is running).
+
+line - The physical path is looped on the line side, the external incoming path is looped back to the external outgoing path.
+
+system - The physical path is looped on the system side, the (node-) internal incoming path is looped back to the internal outgoing path.
+
+Note! administrativeStatus must be set to locked when setting loopback attribute.</description>
+ <dataType>
+ <enumRef name="LoopbackState">
+ <defaultValue>none</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="aisReporting">
+ <description>Indicates whether Alarm Indication Signal, AIS, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="rdiReporting">
+ <description>Indicates whether Remote Defect Indication, RDI, alarms are to be issued.
+
+true - reporting enabled.
+false - reporting disabled.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="pmEsCpp">
+ <description>Performance Monitoring counter for ES, Errored Seconds based on C-bit parity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmSesCpp">
+ <description>Performance Monitoring counter for Severely Errored Seconds, SES based on C-bit parity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="reservedByTraffic">
+ <description>The identity of the reserving AtmPort MO. </description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="AtmPort"/>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBySync">
+ <description>The identity of the reserving Synchronization MO.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="Synchronization"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Etm3">
+ <description>This MO represents the hardware of the ET-M3 board type. It only represents the ET specific hardware, while the DBM module of the board is represented by the PlugInUnit MO.
+
+The ET-M3 board contains 2 physical ports that can be configured as either E3 (ETSI) or T3(ANSI).
+
+Each port on the ET-M3 board can be used to carry ATM traffic.
+
+At the VP/VC endpoints, the Lost FPM/BR cells counters are not available.
+
+IMA is not applicable for theETM3 board.
+
+The ET-M3 board supports eight bidirectional F4/F5 PM flow per port.
+
+The number of VCC TP+VPC TP with performance monitoring enabled (i.e. PM mode &lt;&gt; off) is restricted to 8/port.
+
+Each port can support up to 240 ATM VC connections. Sixteen of these connections are reserved for AAL2 paths, and therefore sixteen AAL2 multiplexers per port are available.
+
+Each port can support up to 16 VP connections. </description>
+ <attribute name="Etm3Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the Etm3 board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpApRemote">
+ <description>This Managed Object represents an SCCP Remote Access Point. An SCCP AP instance is a logical point in the SCCP network at which an SCCP user can access the services provided by the SCCP and the SCCP can deliver its services to the SCCP user. The SCCP Remote Access Point is identified by a SubSystem Number (SSN).
+
+A remote SccpAp represents an access point in another node and is related to a Mtp3bAp MO.
+
+The performance monitoring counters found in SccpAp MO is reset, set to zero, when the object is created.
+These counters will not be reset again unless there is a shutdown request from the CLI (Cluster Interface).</description>
+ <attribute name="SccpApRemoteId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the SCCP AP. The operability of the SCCP AP is described by this attribute which has two possible values:
+
+Disabled: The SCCP AP is totally inoperable and unable to provide the service to the user (e.g. if the MTP-3b AP is not usable).
+
+Enabled: The SCCP AP is partly or fully operable and available for use.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>Holds a list of the reserving MOs.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <sequence>
+ <moRef name="ManagedObject"/>
+ </sequence>
+ </dataType>
+ </attribute>
+ <attribute name="mtp3bApId">
+ <description>The identity of the Mtp3bAp used by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="Mtp3bAp"/>
+ </dataType>
+ </attribute>
+ <attribute name="ssN">
+ <description>The sub system number, SSN, of the SCCP Access Point. Note that this has to be a unique number.
+
+The SSN has to be unique among all other SccpApRemote MOs that has the same DPC in their corresponding Mtp3bSrs MOs.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>2</min> <max>255</max>
+ </range>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="IpAccessHostGpb">
+ <description>This MO represents the Ip Access Host used for payload. This host type executes on a GPB board (GeneralPocessorUnit).
+
+Note! If autoConfig is set to ON, the Ip Addresses and the Prefixes for this MO is set by the IpAccessAutoConfig MO.
+
+Note! There can be a maximum of 128 host's in the node, and only one host on each main processor.
+
+Note! The host cannot be configured on a MP which have a MediumAccessUnit-child MO. They are exclusive.
+
+Note! The 'administrativeState' has to be set to LOCKED in order to be able to delete this host.</description>
+ <attribute name="IpAccessHostGpbId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="reservedBy">
+ <description>The identity of the reserving MO, can be of type Sctp.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>The operational state of the Ip Access Host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>DEGRADED</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="administrativeState">
+ <description>The administrative state of the Ip Access Host.</description>
+ <dataType>
+ <enumRef name="AdmState">
+ <defaultValue>locked</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="shutDownTimer">
+ <description>The shut-down timer. It is used when the administrativeState is set to shutdown.
+
+Value in seconds, must be a positive value.</description>
+ <dataType>
+ <long>
+ <defaultValue>10</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="linkStatusAddress1">
+ <description>The link status for IpAddress1.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="linkStatusAddress2">
+ <description>The link status for IpAddress2, only valid if 2 IpAddresses are configured for this host.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="generalProcessorUnitId">
+ <description>Holds a reference to the GPU (GenerelProcessorUnit).</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="GeneralProcessorUnit"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfig">
+ <description>This attribute specifies whether the auto configuration feature for the Ip AccessHost is used or not.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="AutoConfigurationMode">
+ <defaultValue>OFF</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigIdentity">
+ <description>The identity of the IpAccessAutoConfig MO used by this host.
+
+Note! This attribute is mandatory if 'autoConfig' is set to ON.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessAutoConfig"/>
+ </dataType>
+ </attribute>
+ <attribute name="autoConfigIdentity2">
+ <description>The identity of the IpAccessAutoConfig MO used by this host.
+
+Note! This attribute can only be set if 'autoConfig' is set to 'ON'. This attribute is not neccesary to set if 'autoConfig' is set to ON, unless the host shall have two Ip Addresses automatically assigned.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="IpAccessAutoConfig"/>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress">
+ <description>The IpAddress.
+
+Note! If autoConfig = OFF this attribute is mandatory.
+Note! If the autoConfiguration is used for this IpAccessHostGpb (autoConfig = ON) this attribute cannot be set. This attribute is then set by the 'IpAccessAutoConfig MO'.
+Note! If the attribute is set, its value must not be the same as for attribute ipAddress2.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="ipAddress2">
+ <description>The second IpAddress.
+
+Note! If autoConfig = OFF this attribute is possible to set. This attribute should only be set if multi-homing is used for this host (i.e. two addresses defined).
+Note! If the autoConfiguration is used for this IpAccessHostGpb (autoConfig = ON) this attribute cannot be set. This attribute is then set by the 'IpAccessAutoConfig MO'.
+Note! If the attribute is set, its value must not be the same as for attribute 'ipAddress'.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="pathMTUDiscovery">
+ <description>Specifies whether path MTU discovery should be used by this host.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <boolean>
+ <defaultValue>false</defaultValue>
+ </boolean>
+ </dataType>
+ </attribute>
+ <attribute name="mtu">
+ <description>The maximum transfer unit.
+
+The MTU has a initial value of 1452 if pathMTUDiscovery is set to false.
+If pathMTUDiscovery is set to true, the initial value of MTU is 1452.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>72</min> <max>2048</max>
+ </range>
+ <defaultValue>1452</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ipDefaultTtl">
+ <description>The default value inserted into the Time-To-Live field of the IP header of datagrams originated at this entity,
+whenever a TTL value is not supplied by the transport layer protocol.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.</description>
+ <dataType>
+ <long>
+ <range>
+ <min>1</min> <max>255</max>
+ </range>
+ <defaultValue>50</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="ipReasmTimeout">
+ <description>The maximum number of seconds which received fragments are
+held while they are awaiting reassembly at this entity.
+
+Note! in order to be able to set the value for this attribute, the administrative state has to be locked.
+
+Note! The value for this attribute has to be positive.</description>
+ <dataType>
+ <long>
+ <defaultValue>300</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="nextHopIpAddr">
+ <description>The next hop IP address.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="nextHopIpAddr2">
+ <description>The secondary next hop IP address.
+
+Note! This attribute must only be set if one of the following conditions are fulfilled:
+1. Attribute 'ipAddress2' is set.
+2. Attribute 'autoConfig' = 'ON' and attribute 'autoConfigIdentity2' is set.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix">
+ <description>The network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.
+
+Note! The default value 0 (zero) is most likely not possible to use and an other value should be submitted if this attribute is configured manually.
+Note! If auto configuration is not used (autoConfig = OFF) this attribute must be set (i.e. this attribute is then 'mandatory').
+Note! If auto configuration is used (autoConfig = ON) this attribute cannot be set, networkPrefix is then set by the ipAccessAutoConfig MO class.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="networkPrefix2">
+ <description>The second network prefix.
+
+The prefix denotes how many bits that are used for calculating the subnet mask.
+
+Note! The default value 0 (zero) is most likely not possible to use and an other value should be submitted if this attribute is configured manually.
+Note! If auto configuration is not used (autoConfig = OFF) and ipAddress2 is set this attribute must be set (i.e. this attribute is then 'mandatory').
+Note! If auto configuration is used (autoConfig = ON) this attribute cannot be set, networkPrefix2 is then set by the ipAccessAutoConfig MO class. </description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>32</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInReceives">
+ <description>The total number of input datagrams received from interfaces, including those received in error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInHdrErrors">
+ <description>The number of input datagrams discarded due to errors in their IP headers, including bad checksums, version number
+mismatch, other format errors, time-to-live exceeded, errors discovered in processing their IP options, etc.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInAddrErrors">
+ <description>The number of input datagrams discarded because the IP address in their IP header's destination field was not a
+valid address to be received at this entity. This count includes invalid addresses (e.g., 0.0.0.0) and addresses of
+unsupported Classes (e.g., Class E). For entities which are not IP routers and therefore do not forward datagrams,
+this counter includes datagrams discarded because the destination address was not a local address.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInUnknownProtos">
+ <description>The number of locally-addressed datagrams received successfully but discarded because of an unknown or
+unsupported protocol.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDiscards">
+ <description>The number of input IP datagrams for which no problems were encountered to prevent their continued processing, but which
+were discarded (e.g., for lack of buffer space). Note that this counter does not include any datagrams discarded while
+awaiting re-assembly.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpInDelivers">
+ <description>The total number of input datagrams successfully delivered to IP user-protocols (including ICMP).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutRequests">
+ <description>The total number of IP datagrams which local IP user-protocols (including ICMP) supplied to IP in requests for
+transmission. Note that this counter does not include any datagrams counted in ipForwDatagrams</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpOutDiscards">
+ <description>The number of output IP datagrams for which no problem was encountered to prevent their transmission to their
+destination, but which were discarded (e.g., for lack of buffer space). Note that this counter would include
+datagrams counted in ipForwDatagrams if any such packets met this (discretionary) discard criterion.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpReasmReqds">
+ <description>The number of IP fragments received which needed to be reassembled at this entity.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpReasmOKs">
+ <description>The number of IP datagrams successfully re-assembled.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpReasmFails">
+ <description>The number of failures detected by the IP re-assembly algorithm (for whatever reason: timed out, errors, etc).
+Note that this is not necessarily a count of discarded IP fragments since some algorithms (notably the algorithm
+in RFC 815) can lose track of the number of fragments by combining them as they are received.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpFragOKs">
+ <description>The number of IP datagrams that have been successfully fragmented at this entity.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpFragFails">
+ <description>The number of IP datagrams that have been discarded because they needed to be fragmented
+at this entity but could not be, e.g., because their Don't Fragment flag was set.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIpFragCreates">
+ <description>The number of IP datagram fragments that have been generated as a result of fragmentation at this entity.
+</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInMsgs">
+ <description>The total number of ICMP messages which the entityreceived.
+Note that this counter includes all those counted by icmpInErrors</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInErrors">
+ <description>The number of ICMP messages which the entity received but determined as having
+ICMP-specific errors (bad ICMP checksums, bad length, etc.).</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInTimeExcds">
+ <description>The number of ICMP Time Exceeded messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInParamProbs">
+ <description>The number of ICMP Parameter Problem messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInSrcQuenchs">
+ <description>The number of ICMP Source Quench messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInRedirects">
+ <description>The number of ICMP Redirect messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchos">
+ <description>The number of ICMP Echo (request) messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpInEchoReps">
+ <description>The number of ICMP Echo Reply messages received.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutMsgs">
+ <description>The total number of ICMP messages which this entity attempted to send.
+Note that this counter includes all those counted by icmpOutErrors.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutErrors">
+ <description>The number of ICMP messages which this entity did not send due to problems discovered within ICMP such as a lack of
+buffers. This value should not include errors discovered outside the ICMP layer such as the inability of IP to route the
+resultant datagram. In some implementations there may be no types of error which contribute to this counter's value.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutDestUnreachs">
+ <description>The number of ICMP Destination Unreachable messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutParmProbs">
+ <description>The number of ICMP Parameter Problem messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchos">
+ <description>The number of ICMP Echo (request) messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmIcmpOutEchoReps">
+ <description>The number of ICMP Echo Reply messages sent.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInDatagrams">
+ <description>The total number of UDP datagrams delivered to UDP users.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpNoPorts">
+ <description>The total number of received UDP datagrams for which there was no application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpInErrors">
+ <description>The number of received UDP datagrams that could not be delivered for
+reasons other than the lack of an application at the destination port.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmUdpOutDatagrams">
+ <description>The total number of UDP datagrams sent from this entity.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInUcastPkts">
+ <description>Performance monitoring counter for the number of input unicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutUcastPkts">
+ <description>Performance monitoring counter for the number of out unicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInErrors">
+ <description>Performance monitoring counter for the number of input packets discarded due to any error.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInDiscards">
+ <description>Performance monitoring counter for the number of input packets discarded due to resource limitations.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInBroadcastPkts">
+ <description>Performance monitoring counter for the number of input broadcast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfInMulticastPkts">
+ <description>Performance monitoring counter for the number of input multicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutBroadcastPkts">
+ <description>Performance monitoring counter for the number of output broadcast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfIfOutMulticastPkts">
+ <description>Performance monitoring counter for the number of output multicast packets delivered to higher layer.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SystemFunctions">
+ <description>This MO structures the SystemFunctions of the managed element. It is created automatically and cannot be deleted.
+
+The local distinguished name of this MO is ManagedElement=1, SystemFunctions=1.</description>
+ <systemCreated/>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="SystemFunctionsId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Licensing">
+ <description>This MO represents the Licensing (Software Keys) functions in Cello.</description>
+ <systemCreated/>
+ <attribute name="LicensingId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="emergencyStateInfo">
+ <description>This attribute holds information about the emergency status and the time remaining in the current state.
+
+Note! If the node is in emergency state USE_DEGRADED, or the emergency state is USE_DISABLED, the the value of'time' is insignificant.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <structRef name="EmergencyInfo"/>
+ </dataType>
+ </attribute>
+ <attribute name="licenseFileUrl">
+ <description>This attribute returns the URL to the License Key File so it can be viewed with an XML capable web browser
+in case this is a licensed node.
+
+Note! In case the node is not licensed, an empty string will be returned.</description>
+ <noNotification/>
+ <readOnly/>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>256</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <action name="updateLicenseKeyFile">
+ <description>This action sends a request to the FTP/SFTP server to update the license key file.
+
+User Id for login to FTP/SFTP server where license key files are located.
+If a null string userId is given, anonymous FTP/SFTP transfer is assumed.
+Max length is 20 characters.
+
+Password for login to the FTP/SFTP server where license key files are located.
+Max length is 20 characters.
+
+The IpAddress of the FTP/SFTP server where license key files are located.
+Max length is 16 characters.
+
+Source name and path of the license key file on the FTP/SFTP server.
+Max length is 256 charcters.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="userId">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="password">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="ipAddress">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ <parameter name="sFile">
+ <in/>
+ <dataType>
+ <string/>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="setEmergencyState">
+ <description>This action sets the license server into emergency state.
+I.e. opens up all licensed features and capacity restrictions.
+Disabling emergency state is done by loading a new license key file.
+
+Note! This action does not require a transaction.</description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class>
+
+ <class name="TimingUnit2">
+ <description>This MO represents a timing unit2 (reference clock) in the node.
+
+The corresponding Program shall be of LoadModuleLoaderType, SpmFpgaLoader.</description>
+ <attribute name="userLabel">
+ <description>Label for free use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Operational state of TimingUnit</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatus">
+ <description>The availability status of the TimingUnit.
+
+The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="TimingUnit2Id">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpPolicing">
+ <description>The SccpPolicing MO represents the policing function in CPP.
+
+The originatingMtp3bSpc is a madatory attribute for policing in one local node (i.e. a SRP in one NI).
+For policing between two local nodes (i.e. a SRP between two NIs) the originatingMtp3bSpc can be replaced by the originatingSccpSpId.
+Optional attributes for policing in one local node (i.e a SRP in one NI) are destinationMtp3bSpc, destinationSccpSsn, originatingSccpSsn and policingGlobalTitle.
+For policing between two local nodes (i.e. a SRP between two NIs) the destinationMtp3bSpc can be replaced by the destinationSccpSpId.</description>
+ <attribute name="SccpPolicingId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="originatingMtp3bSpc">
+ <description>The value of the SPC (Signaling Point Code).
+
+Note! If the 'originatingSccpSpId' is specified the 'originatingMtp3bSpc' is invalid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>16777215</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="destinationMtp3bSpc">
+ <description>The value of the destination SPC (Signaling Point Code).
+
+Note! The default value 0 (zero) means that no SPC is defined.
+Note! If no 'destinationSccpSp' is defined and the value of 'destinationMtp3bSpc' is set to 0 (zero), all Signalling Point Codes (SPC) are valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>16777215</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="originatingSccpSpId">
+ <description>The identity of the originating SccpSp MO must be used for policing between local nodes.
+
+Note! If the 'originatingSccpSpId' is specified the 'originatingMtp3bSpc' is invalid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="originatingSccpSsn">
+ <description>The value of SSN in CgPA (Calling Party Address)
+
+Note! The value 0 (zero) means that all ssN (Sub System Number) are valid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="destinationSccpSpId">
+ <description>The identity of the destination SccpSp MO can be used for policing between local nodes.
+
+Note! If the 'destinationSccpSpId' is specified the 'destinationMtp3bSpc' is invalid.
+Note! If no destination 'destinationSccpSp' is defined and the value of 'destinationMtp3bSpc' is set to 0, all local nodes are valid.
+</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="destinationSccpSsn">
+ <description>The value of SSN inCdPA (Called Party Address).
+
+Note! The valu 0 (zero) means tha all ssN (Sub System Number) are valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="policingGlobalTitle">
+ <description>The Policing Global Title attribute allows to optionally police on Global Title
+
+Default value is considered as no policing Global Title and all GTs are valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <structRef name="GlobalTitleData"/>
+ </dataType>
+ </attribute>
+ <attribute name="discardOrReturnServiceMsg">
+ <description>For a policing case whether to discard or return Service Messages, if the return option is set.</description>
+ <dataType>
+ <enumRef name="DiscardReturnServiceMsg">
+ <defaultValue>DISCARD</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfRejectMsg">
+ <description>Performance monitoring counter for the number of rejected messages.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="SccpAccountingCriteria">
+ <description>The SccpAccountingCriteria MO and the SccpSp MO represents the accounting function in CPP.
+
+Attribute usageType specifies Accounting Remuneration (incoming GT messages) or Verification (outgoing GT messages).
+
+For Remuneration a SccpGlobalTitle MOId in attribute globalTitleId must be defined.
+For Verification a SccpGlobalTitle MOid is defined in attribute globalTitleId, or a SccpEntitySet MOid is defined if accounting shall be made on the resulting new GT.
+
+The attribute ssN is optional .
+The Mtp3bSrs MOid (including an SPC) in attribute pointerId is optional for accounting in one local node (SRP in one NI).
+The SccpSp MOid in attribute pointerId is optional for accounting between two local nodes (SRP between two NIs).
+Attribute countType specifies if Messages och Octets shall be counted.
+Start and stop of accounting and output period for the accounting file is specified in the SccpSp MO.</description>
+ <attribute name="SccpAccountingCriteriaId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Label free for use.</description>
+ <dataType>
+ <string>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="usageType">
+ <description>This attribute specifies if the MO is used for renumeration or verification.
+
+0 = Verification Criteria to detect outgoing massages that will be charged in the next relay node.
+
+1 = Renumeration Criteria to detect incoming messages for relaying, that will be charged.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long/>
+ </dataType>
+ </attribute>
+ <attribute name="ssN">
+ <description>Subsystem Number this Accounting Criteria applies to.
+SubSystem Number 0 (zero) means that any SSN in CdPA (Called Party Address) is valid.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <long>
+ <range>
+ <min>0</min> <max>255</max>
+ </range>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="countType">
+ <description>Specifies type of countes that should be activated.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <enumRef name="SccpCountType">
+ <defaultValue>MESSAGES</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="globalTitleId">
+ <description>The identity of the SccpGlobalTitle MO or the SccpEntitySet MO used by this MO.</description>
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfMsg">
+ <description>Performance monitoring counter for the number of messages, both incoming and outgoing.
+
+Note! If 'countType' is set to 'OCTETS' this counter is not valid.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pmNoOfOctets">
+ <description>Performance monitoring counter for the number of octets, both incoming and outgoing.
+
+Note! If 'countType' is set to 'MESSAGES this counter is not valid.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <long>
+ <defaultValue>0</defaultValue>
+ </long>
+ </dataType>
+ </attribute>
+ <attribute name="pointerId">
+ <description>The pointer is an instance of a Mtp3bSrs MO OR an instance of a SccpSP MO.
+
+Note! If no pointerId is set all Signaling Point Codes (SPC) and all Sccp SP identities are valid.
+Note! It is not possible to submit the Id of the SccpSp that the SccpAccountingCriteria belongs to.</description>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <moRef name="ManagedObject"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="Cbu">
+ <description>This MO models the Cbu (Node Control Board) board in CPP.
+The Cbu board integrates the functionality of TUB, SCB, GPB and ETB (ET-MC1) into one Plug-In Unit.
+
+Note! The 'operationalState' is set to disabled if one of the functional parts is faulty. Even if the Cbu is marked with 'operationalState' DISABLED, parts of the Cbu can still be operational.
+
+Note! A functional part on a Cbu board can only be redundant with the same functional part on another Cbu board and thus not with that functional part implemented on a discrete board. Also note that redundancy is only applicable for the GPB part and the TimingUnit part of the Cbu board..
+
+Note! On the Cbu board it is only possible to configure a maximum of 4 physical path terminations. These can be of type E1PhysPathTerm, J1PhyspathTerm or T1PhyspathTerm. It is not possible to create physical path terminations of different standards on the same board.
+
+Note! The Gpu part of the Cbu board (General Processor Unit) retrieves its 'availabilityStatus' from the PlugInUnit MO, thus not represented on the Cbu MO.
+
+Note! When the ET-MC1 is part of the Cbu board it is only possible to configure 4 physical ports as either E1 (ETSI), J1 (TTC) or T1 (ANSI).
+
+Note! This unit may only be inserted in slot 1 and 24 (or more accurately at APN=1 and 0 in a non-standard subrack). If redundant configuration is needed, two Cbu's must be inserted in the subrack.
+If only one Cbu is used it must be placed in slot 1 (in a non-standard subrack) to be able to read the product information data of the subrack</description>
+ <attribute name="CbuId">
+ <mandatory/>
+ <noNotification/>
+ <restricted/>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="userLabel">
+ <description>Provides the possibility to put a user-friendly label on the MO instance.
+
+Note! This attribute cannot be used for uniquely identifing the MO.</description>
+ <dataType>
+ <string>
+ <lengthRange>
+ <min>0</min> <max>128</max>
+ </lengthRange>
+ <defaultValue>""</defaultValue>
+ </string>
+ </dataType>
+ </attribute>
+ <attribute name="operationalState">
+ <description>Enabled or disabled according to the actual operational state of the NCB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="OperState">
+ <defaultValue>disabled</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusEt">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.
+The 'availabilityStatusEt' gives the availability status for the ET part of the NCB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ <attribute name="availabilityStatusTu">
+ <description>The availability status is used to qualify the operational state. It indicates why the operational state has changed its value to disabled.
+The 'availabilityStatusTu' gives the availability status for the Timing Unit part of the NCB board.</description>
+ <noNotification/>
+ <nonPersistent/>
+ <readOnly/>
+ <dataType>
+ <enumRef name="AvailabilityStatus">
+ <defaultValue>NO_STATUS</defaultValue>
+ </enumRef>
+ </dataType>
+ </attribute>
+ </class>
+
+ <relationship name="ManagedElement_to_TransportNetwork">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="TransportNetwork"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Synchronization">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Synchronization"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_SccpSp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="SccpSp"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_UniSaalProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="UniSaalProfile"/>
+ <cardinality>
+ <min>0</min> <max>300</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_UniSaalTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="UniSaalTp"/>
+ <cardinality>
+ <min>0</min> <max>4800</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal0TpVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal0TpVccTp"/>
+ <cardinality>
+ <min>0</min> <max>2200</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal5TpVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal5TpVccTp"/>
+ <cardinality>
+ <min>0</min> <max>6000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_AtmPort">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="AtmPort"/>
+ <cardinality>
+ <min>0</min> <max>500</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_NniSaalProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="NniSaalProfile"/>
+ <cardinality>
+ <min>0</min> <max>50</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_NniSaalTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="NniSaalTp"/>
+ <cardinality>
+ <min>0</min> <max>600</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_AtmTrafficDescriptor">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="AtmTrafficDescriptor"/>
+ <cardinality>
+ <min>0</min> <max>1000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2PathVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2PathVccTp"/>
+ <cardinality>
+ <min>0</min> <max>2000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2RoutingCase">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2RoutingCase"/>
+ <cardinality>
+ <min>0</min> <max>900</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2Sp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2Sp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_AtmCrossConnection">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="AtmCrossConnection"/>
+ <cardinality>
+ <min>0</min> <max>5000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2TpItu">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2TpItu"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2TpAnsi">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2TpAnsi"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal1TpVccTp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal1TpVccTp"/>
+ <cardinality>
+ <min>0</min> <max>1000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpAnsi">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpAnsi"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpItu">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpItu"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpTtc">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpTtc"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2ProfileAnsi">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2ProfileAnsi"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2ProfileItu">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2ProfileItu"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2ProfileChina">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2ProfileChina"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp2TpChina">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp2TpChina"/>
+ <cardinality>
+ <min>0</min> <max>256</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mtp3bSpChina">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSpChina"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Mspg">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Mspg"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_ImaGroup">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="ImaGroup"/>
+ <cardinality>
+ <min>0</min> <max>200</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2QosProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2QosProfile"/>
+ <cardinality>
+ <min>0</min> <max>20</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Aal2QosCodePointProfile">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2QosCodePointProfile"/>
+ <cardinality>
+ <min>0</min> <max>20</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TransportNetwork_to_Sctp">
+ <containment>
+ <parent>
+ <hasClass name="TransportNetwork"/>
+ </parent>
+ <child>
+ <hasClass name="Sctp"/>
+ <cardinality>
+ <min>0</min> <max>10</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Subrack_to_Slot">
+ <containment>
+ <parent>
+ <hasClass name="Subrack"/>
+ </parent>
+ <child>
+ <hasClass name="Slot"/>
+ <cardinality>
+ <min>1</min> <max>28</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Slot_to_PlugInUnit">
+ <containment>
+ <parent>
+ <hasClass name="Slot"/>
+ </parent>
+ <child>
+ <hasClass name="PlugInUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Slot_to_ManagedObject">
+ <containment>
+ <parent>
+ <hasClass name="Slot"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_ManagedObject">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_ManagedObject">
+ <description>This containment relation indicated that any kind of board may be used to specilize PlugInUnit.</description>
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Subrack_to_ManagedObject">
+ <containment>
+ <parent>
+ <hasClass name="Subrack"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedObject"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="VpcTp_to_VclTp">
+ <containment>
+ <parent>
+ <hasClass name="VpcTp"/>
+ </parent>
+ <child>
+ <hasClass name="VclTp"/>
+ <cardinality>
+ <min>0</min> <max>5000</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_Equipment">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="Equipment"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_IpSystem">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="IpSystem"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_SwManagement">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="SwManagement"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_SwitchFabric">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchFabric"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_ManagedElementData">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="ManagedElementData"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ManagedElement_to_SystemFunctions">
+ <containment>
+ <parent>
+ <hasClass name="ManagedElement"/>
+ </parent>
+ <child>
+ <hasClass name="SystemFunctions"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Equipment_to_Subrack">
+ <containment>
+ <parent>
+ <hasClass name="Equipment"/>
+ </parent>
+ <child>
+ <hasClass name="Subrack"/>
+ <cardinality>
+ <min>0</min> <max>9</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Equipment_to_Jvm">
+ <containment>
+ <parent>
+ <hasClass name="Equipment"/>
+ </parent>
+ <child>
+ <hasClass name="Jvm"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Subrack_to_Fan">
+ <containment>
+ <parent>
+ <hasClass name="Subrack"/>
+ </parent>
+ <child>
+ <hasClass name="Fan"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_LoadModule">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="LoadModule"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpApLocal">
+ <description>The SccpApLocal represents a local SSN, no relation exists to an Mtp3bAp
+
+Of the possible 216 SccpAps allowed on a node, a maximum of 16 are allowed to be local, and a maximum of 200 are allowed to be remote.</description>
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpApLocal"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpSp_to_SccpScrc">
+ <containment>
+ <parent>
+ <hasClass name="SccpSp"/>
+ </parent>
+ <child>
+ <hasClass name="SccpScrc"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpEntitySet">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpEntitySet"/>
+ <cardinality>
+ <min>0</min> <max>2048</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpGlobalTitle">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpGlobalTitle"/>
+ <cardinality>
+ <min>0</min> <max>2048</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpApRemote">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpApRemote"/>
+ <cardinality>
+ <min>0</min> <max>200</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpPolicing">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpPolicing"/>
+ <cardinality>
+ <min>0</min> <max>1024</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SccpScrc_to_SccpAccountingCriteria">
+ <containment>
+ <parent>
+ <hasClass name="SccpScrc"/>
+ </parent>
+ <child>
+ <hasClass name="SccpAccountingCriteria"/>
+ <cardinality>
+ <min>0</min> <max>100</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Spm_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Spm"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm4_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etm4"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc41_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etmc41"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit2_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit2"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm3_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Etm3"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Spu_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Spu"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Fan_to_Program">
+ <containment>
+ <parent>
+ <hasClass name="Fan"/>
+ </parent>
+ <child>
+ <hasClass name="Program"/>
+ <cardinality>
+ <min>1</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_E1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="E1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_E1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="E1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="E1PhysPathTerm_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="E1PhysPathTerm"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>31</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_J1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="J1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_J1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="J1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="J1PhysPathTerm_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="J1PhysPathTerm"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="AtmPort_to_VplTp">
+ <containment>
+ <parent>
+ <hasClass name="AtmPort"/>
+ </parent>
+ <child>
+ <hasClass name="VplTp"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bAp">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bAp"/>
+ <cardinality>
+ <min>0</min> <max>510</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSrs_to_Mtp3bSr">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSrs"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSr"/>
+ <cardinality>
+ <min>0</min> <max>5</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bSrs">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSrs"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etm1">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etm1"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Spu">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Spu"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_SwitchCoreUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchCoreUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_GeneralProcessorUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="GeneralProcessorUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etm4">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etm4"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_TimingUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="TimingUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_SwitchExtensionUnit">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchExtensionUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etmc1">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etmc1"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etmc41">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etmc41"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Etm3">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Etm3"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_TimingUnit2">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="TimingUnit2"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="PlugInUnit_to_Cbu">
+ <containment>
+ <parent>
+ <hasClass name="PlugInUnit"/>
+ </parent>
+ <child>
+ <hasClass name="Cbu"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bSls">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpTtc_to_Mtp3bSls_0">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpTtc"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSls"/>
+ <cardinality>
+ <min>0</min> <max>255</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlAnsi">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlAnsi"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlItu">
+ <description>Multiplicity: 0..16</description>
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlItu"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlTtc">
+ <description>Multiplicity: 0..16</description>
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlTtc"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSls_to_Mtp3bSlChina">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSls"/>
+ </parent>
+ <child>
+ <hasClass name="Mtp3bSlChina"/>
+ <cardinality>
+ <min>0</min> <max>16</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_Ip">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="Ip"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_Ospf">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="Ospf"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_IpAccessAutoConfig">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="IpAccessAutoConfig"/>
+ <cardinality>
+ <min>0</min> <max>32</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_IpAccessHostMsb">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="IpAccessHostMsb"/>
+ <cardinality>
+ <min>0</min> <max>128</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="IpSystem_to_IpAccessHostGpb">
+ <containment>
+ <parent>
+ <hasClass name="IpSystem"/>
+ </parent>
+ <child>
+ <hasClass name="IpAccessHostGpb"/>
+ <cardinality>
+ <min>0</min> <max>128</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ip_to_IpAtmLink">
+ <containment>
+ <parent>
+ <hasClass name="Ip"/>
+ </parent>
+ <child>
+ <hasClass name="IpAtmLink"/>
+ <cardinality>
+ <min>0</min> <max>500</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ip_to_EthernetLink">
+ <containment>
+ <parent>
+ <hasClass name="Ip"/>
+ </parent>
+ <child>
+ <hasClass name="EthernetLink"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ip_to_IpRoutingTable">
+ <containment>
+ <parent>
+ <hasClass name="Ip"/>
+ </parent>
+ <child>
+ <hasClass name="IpRoutingTable"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Aal2Sp_to_Aal2Ap">
+ <containment>
+ <parent>
+ <hasClass name="Aal2Sp"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2Ap"/>
+ <cardinality>
+ <min>0</min> <max>850</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Aal2Ap_to_Aal2PathDistributionUnit">
+ <containment>
+ <parent>
+ <hasClass name="Aal2Ap"/>
+ </parent>
+ <child>
+ <hasClass name="Aal2PathDistributionUnit"/>
+ <cardinality>
+ <min>0</min> <max>26</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm1_to_T1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm1"/>
+ </parent>
+ <child>
+ <hasClass name="T1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit_to_TuSyncRef">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit"/>
+ </parent>
+ <child>
+ <hasClass name="TuSyncRef"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="TimingUnit2_to_TuSyncRef">
+ <containment>
+ <parent>
+ <hasClass name="TimingUnit2"/>
+ </parent>
+ <child>
+ <hasClass name="TuSyncRef"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Spu_to_Spm">
+ <containment>
+ <parent>
+ <hasClass name="Spu"/>
+ </parent>
+ <child>
+ <hasClass name="Spm"/>
+ <cardinality>
+ <min>0</min> <max>5</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_ConfigurationVersion">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="ConfigurationVersion"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_UpgradePackage">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="UpgradePackage"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_Repertoire">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="Repertoire"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_PiuType">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="PiuType"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_SwAllocation">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="SwAllocation"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwManagement_to_ReliableProgramUniter">
+ <containment>
+ <parent>
+ <hasClass name="SwManagement"/>
+ </parent>
+ <child>
+ <hasClass name="ReliableProgramUniter"/>
+ <cardinality>
+ <min>0</min>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ospf_to_OspfInterface">
+ <containment>
+ <parent>
+ <hasClass name="Ospf"/>
+ </parent>
+ <child>
+ <hasClass name="OspfInterface"/>
+ <cardinality>
+ <min>0</min> <max>32</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Ospf_to_OspfArea">
+ <containment>
+ <parent>
+ <hasClass name="Ospf"/>
+ </parent>
+ <child>
+ <hasClass name="OspfArea"/>
+ <cardinality>
+ <min>1</min> <max>4</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Cbu_to_GeneralProcessorUnit">
+ <containment>
+ <parent>
+ <hasClass name="Cbu"/>
+ </parent>
+ <child>
+ <hasClass name="GeneralProcessorUnit"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="GeneralProcessorUnit_to_MediumAccessUnit">
+ <containment>
+ <parent>
+ <hasClass name="GeneralProcessorUnit"/>
+ </parent>
+ <child>
+ <hasClass name="MediumAccessUnit"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwitchFabric_to_SwitchModule">
+ <containment>
+ <parent>
+ <hasClass name="SwitchFabric"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchModule"/>
+ <cardinality>
+ <min>0</min> <max>32</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SwitchFabric_to_InternalLinkGroup">
+ <containment>
+ <parent>
+ <hasClass name="SwitchFabric"/>
+ </parent>
+ <child>
+ <hasClass name="InternalLinkGroup"/>
+ <cardinality>
+ <min>0</min> <max>31</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="InternalLinkGroup_to_SwitchInternalLink">
+ <containment>
+ <parent>
+ <hasClass name="InternalLinkGroup"/>
+ </parent>
+ <child>
+ <hasClass name="SwitchInternalLink"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm4_to_Os155SpiTtp">
+ <containment>
+ <parent>
+ <hasClass name="Etm4"/>
+ </parent>
+ <child>
+ <hasClass name="Os155SpiTtp"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="VplTp_to_VpcTp">
+ <containment>
+ <parent>
+ <hasClass name="VplTp"/>
+ </parent>
+ <child>
+ <hasClass name="VpcTp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="E1Ttp_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="E1Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>31</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="T1PhysPathTerm_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="T1PhysPathTerm"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="T1Ttp_to_Ds0Bundle">
+ <containment>
+ <parent>
+ <hasClass name="T1Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="Ds0Bundle"/>
+ <cardinality>
+ <min>0</min> <max>24</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpAnsi_to_M3uAssociation">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpAnsi"/>
+ </parent>
+ <child>
+ <hasClass name="M3uAssociation"/>
+ <cardinality>
+ <min>0</min> <max>512</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpItu_to_M3uAssociation">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpItu"/>
+ </parent>
+ <child>
+ <hasClass name="M3uAssociation"/>
+ <cardinality>
+ <min>0</min> <max>512</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Vc12Ttp_to_E1Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Vc12Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="E1Ttp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Os155SpiTtp_to_Vc4Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Os155SpiTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Vc4Ttp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Vc4Ttp_to_Vc12Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Vc4Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="Vc12Ttp"/>
+ <cardinality>
+ <min>0</min> <max>63</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc41_to_Os155SpiTtp">
+ <containment>
+ <parent>
+ <hasClass name="Etmc41"/>
+ </parent>
+ <child>
+ <hasClass name="Os155SpiTtp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Os155SpiTtp_to_Sts3CspeTtp">
+ <containment>
+ <parent>
+ <hasClass name="Os155SpiTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Sts3CspeTtp"/>
+ <cardinality>
+ <min>0</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Os155SpiTtp_to_Sts1SpeTtp">
+ <containment>
+ <parent>
+ <hasClass name="Os155SpiTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Sts1SpeTtp"/>
+ <cardinality>
+ <min>0</min> <max>3</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Cbu_to_Etmc1">
+ <containment>
+ <parent>
+ <hasClass name="Cbu"/>
+ </parent>
+ <child>
+ <hasClass name="Etmc1"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etmc1_to_T1PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etmc1"/>
+ </parent>
+ <child>
+ <hasClass name="T1PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Mtp3bSpChina_to_M3uAssociation">
+ <containment>
+ <parent>
+ <hasClass name="Mtp3bSpChina"/>
+ </parent>
+ <child>
+ <hasClass name="M3uAssociation"/>
+ <cardinality>
+ <min>0</min> <max>512</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="ImaGroup_to_ImaLink">
+ <containment>
+ <parent>
+ <hasClass name="ImaGroup"/>
+ </parent>
+ <child>
+ <hasClass name="ImaLink"/>
+ <cardinality>
+ <min>0</min> <max>8</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SystemFunctions_to_WebServer">
+ <containment>
+ <parent>
+ <hasClass name="SystemFunctions"/>
+ </parent>
+ <child>
+ <hasClass name="WebServer"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Sts1SpeTtp_to_Vt15Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Sts1SpeTtp"/>
+ </parent>
+ <child>
+ <hasClass name="Vt15Ttp"/>
+ <cardinality>
+ <min>0</min> <max>28</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Vt15Ttp_to_T1Ttp">
+ <containment>
+ <parent>
+ <hasClass name="Vt15Ttp"/>
+ </parent>
+ <child>
+ <hasClass name="T1Ttp"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm3_to_E3PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm3"/>
+ </parent>
+ <child>
+ <hasClass name="E3PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Etm3_to_T3PhysPathTerm">
+ <containment>
+ <parent>
+ <hasClass name="Etm3"/>
+ </parent>
+ <child>
+ <hasClass name="T3PhysPathTerm"/>
+ <cardinality>
+ <min>0</min> <max>2</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="SystemFunctions_to_Licensing">
+ <containment>
+ <parent>
+ <hasClass name="SystemFunctions"/>
+ </parent>
+ <child>
+ <hasClass name="Licensing"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Cbu_to_TimingUnit2">
+ <containment>
+ <parent>
+ <hasClass name="Cbu"/>
+ </parent>
+ <child>
+ <hasClass name="TimingUnit2"/>
+ <cardinality>
+ <min>1</min> <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+</mim>
+
+</models>
+
diff --git a/lib/xmerl/test/xmerl_SUITE_data/eventp/mp.dtd b/lib/xmerl/test/xmerl_SUITE_data/eventp/mp.dtd
new file mode 100644
index 0000000000..1f6e31e772
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/eventp/mp.dtd
@@ -0,0 +1,274 @@
+<!-- 15531-APR 90163: rev F -->
+<!-- Date- 2000-10-16 -->
+<!-- Author- Johnni Sigeti -->
+<!-- Author- Niklas Storm -->
+<!-- Author- Hui Lin -->
+
+<!-- Revision History
+ A Raghunath Squid version
+ PB1 epkjsig 1999-09-09 Removed uLong, uShort, union
+ and inherits.
+ PB2 epkjsig 1999-09-23 Rewritten with new
+ requirements
+ PB3 epkjsig 1999-09-26 Updated after team review
+ B epkjsig 1999-10-06 Updated after inspection
+ PC1 epkjsig 1999-10-28 Added mandatory attribute
+ for associations.
+ PC2 epkjsig 1999-11-22 Added MORef as attribute
+ PC3 qpknian 2000-01-03 Added support object class.
+ PC4 qpknian 2000-01-18 Removed illegal characters
+ in comments.
+ PC5 qpknian 2000-01-21 Updated model tag. class and
+ soClass elements should be in
+ separate models.
+ C qpknian 2000-02-10 Approved after inspection.
+ PD1 qpknian 2000-03-02 Added applicationTag.
+ Added notificationTypes.
+ Removed controlled.
+ Renamed readOnly to advisoryReadOnly.
+ PD2 qpknian 2000-03-21 Added softLink to hoppers.
+ D qpknian 2000-04-26 Approved after inspection.
+ PE1 qpknian 2000-06-27 Updated for CR41 (use Corba types)
+ E qpknian 2000-09-01 Approved after inspection.
+ PF1 eiuhlin 2000-08-21 Updated for CR43 (Rename Model to MIM, add INTER-MIM)
+ PF2 eiuhlin 2000-09-20 Support uniDirectionalAssociation and biDirectionalAssociation
+ Add static to Attribute
+ Add enumRef and sequence to struct
+ PF3 eiuhlin 2000-09-20 Add maxLength to sequence
+ PF4 eiuhlin 2000-09-21 Define Element static
+ PF5 eiuhlin 2000-09-27 Remove ATTLIST name from moRef
+ PF6 eiuhlin 2000-10-02 Remove tag <helpRef>, <icon>
+ Add associationClass to bi and uni-directional association
+ Change tag <target> to <supported>
+ Remove ATTLIST name from supporter and supported
+ Add ATTLIST name to moRef
+ Remove tag <advisoryReadOnly>
+ Add tag <readOnly> and <undefined>
+ PF7 eiuhlin 2000-10-04 Add ATTLIST name to interMim
+ F eiuhlin 2000-10-16 Approved after inspection.
+-->
+
+
+<!-- Common -->
+<!ELEMENT description (#PCDATA)>
+
+
+<!ELEMENT applicationTag (#PCDATA)>
+
+
+<!-- Models definition -->
+<!ELEMENT models (mim|interMim)*>
+
+<!ELEMENT mim (description?, applicationTag?,
+
+ (struct | enum | exception)*,
+ class+, relationship*)>
+<!ATTLIST mim name ID #REQUIRED
+ version CDATA #REQUIRED
+ release CDATA #REQUIRED>
+
+<!ELEMENT interMim (description?, applicationTag?,
+
+ (struct | enum )*,
+ relationship*)>
+
+<!ATTLIST interMim name CDATA #REQUIRED>
+
+<!-- MO class definition -->
+<!ELEMENT class (description?, applicationTag?,
+ systemCreated?, notificationTypes?, (action | attribute)*)>
+<!ATTLIST class name CDATA #REQUIRED>
+
+<!ELEMENT systemCreated EMPTY>
+
+<!ELEMENT notificationTypes (#PCDATA)>
+
+
+<!-- Relations -->
+<!ELEMENT relationship (description?, applicationTag?,
+ (biDirectionalAssociation |uniDirectionalAssociation | containment|softLink|support))>
+<!ATTLIST relationship name CDATA #REQUIRED>
+
+<!ELEMENT softLink (parent, child+)>
+
+<!ELEMENT support (supporter,supported+)>
+
+<!ELEMENT supporter (description?, applicationTag?,
+ hasClass, cardinality?)>
+
+<!ELEMENT supported (description?, applicationTag?,
+ hasClass, cardinality?)>
+
+<!ELEMENT biDirectionalAssociation (mandatory?, associationEnd, associationEnd+, associationClass?)>
+
+<!ELEMENT uniDirectionalAssociation (mandatory?, hasClass, associationEnd+, associationClass?)>
+
+<!ELEMENT containment (parent, child+)>
+
+<!ELEMENT associationEnd (description?, applicationTag?,
+ hasClass, cardinality?)>
+<!ATTLIST associationEnd name CDATA #REQUIRED>
+
+<!ELEMENT associationClass (attribute+)>
+
+<!ELEMENT parent (description?, applicationTag?,
+ hasClass)>
+<!ELEMENT child (description?, applicationTag?,
+ hasClass, cardinality?)>
+
+<!ELEMENT cardinality (min | max | (min, max))>
+
+<!ELEMENT hasClass EMPTY>
+<!ATTLIST hasClass name CDATA #REQUIRED
+ inMim CDATA #IMPLIED
+ ofVersion CDATA #IMPLIED>
+
+
+
+
+<!-- Data types -->
+<!ENTITY % corbaTypes "boolean | octet | char | double | float |
+ long | longlong | short | string | wstring">
+<!ENTITY % availableTypes "%corbaTypes; | enumRef | structRef |
+ moRef | sequence">
+
+
+<!-- For attributes, parameters and exceptions -->
+<!ELEMENT dataType (%availableTypes;)>
+
+<!-- For actions -->
+<!ELEMENT returnType (void | %availableTypes;)>
+
+
+<!-- Return data types -->
+<!ELEMENT void EMPTY>
+
+
+<!-- Primitive data types -->
+<!ELEMENT boolean (defaultValue?)>
+
+<!ELEMENT octet (range?, defaultValue?)>
+
+<!ELEMENT char (range?, defaultValue?)>
+
+<!ELEMENT double (range?, defaultValue?)>
+
+<!ELEMENT float (range?, defaultValue?)>
+
+<!ELEMENT long (range?, defaultValue?)>
+
+<!ELEMENT longlong (range?, defaultValue?)>
+
+<!ELEMENT short (range?, defaultValue?)>
+
+<!ELEMENT string (lengthRange?, (validValues | alphabet)?, defaultValue?)>
+
+<!ELEMENT wstring (lengthRange?, (validValues | alphabet)?, defaultValue?)>
+
+
+<!ELEMENT alphabet (#PCDATA)>
+<!ELEMENT validValues (#PCDATA)>
+
+<!ELEMENT lengthRange (min?, (min, max)*, max?)>
+<!ELEMENT range (min?, (min, max)*, max?)>
+
+<!ELEMENT max (#PCDATA)>
+<!ELEMENT min (#PCDATA)>
+
+<!ELEMENT defaultValue (#PCDATA)>
+
+
+<!-- Enum data type -->
+<!ELEMENT enum (description?, applicationTag?,
+ enumMember+, defaultValue?)>
+<!ATTLIST enum name CDATA #REQUIRED>
+
+<!ELEMENT enumMember (description?, applicationTag?,
+ value?)>
+<!ATTLIST enumMember name CDATA #REQUIRED>
+
+<!ELEMENT enumRef (defaultValue?)>
+<!ATTLIST enumRef name CDATA #REQUIRED>
+
+<!ELEMENT value (#PCDATA)>
+
+
+<!-- Struct data type -->
+<!ELEMENT struct (description?, applicationTag?,
+ structMember+)>
+<!ATTLIST struct name CDATA #REQUIRED>
+
+<!ELEMENT structMember (description?, applicationTag?,
+ (%corbaTypes; | sequence | enumRef))>
+<!ATTLIST structMember name CDATA #REQUIRED>
+
+<!ELEMENT structRef EMPTY>
+<!ATTLIST structRef name CDATA #REQUIRED>
+
+
+<!-- Sequence data type -->
+<!ELEMENT sequence ((%corbaTypes; | enumRef | structRef | moRef),
+ length?, maxLength?, nonUnique?)>
+
+<!ELEMENT length (#PCDATA)>
+
+<!ELEMENT maxLength (#PCDATA)>
+
+<!ELEMENT nonUnique EMPTY>
+
+
+<!-- MoRef data type -->
+<!ELEMENT moRef EMPTY>
+
+<!ATTLIST moRef name CDATA #REQUIRED>
+
+<!-- Attribute -->
+<!ELEMENT attribute (description?, applicationTag?,
+ local?, mandatory?, noNotification?,
+ nonPersistent?, readOnly?, restricted?, static?,undefined?, dataType)>
+<!ATTLIST attribute name CDATA #REQUIRED>
+
+
+<!-- Attribute controls -->
+<!-- nonUnique is defined under the sequence data type. -->
+
+<!ELEMENT local EMPTY>
+
+<!ELEMENT mandatory EMPTY>
+
+<!ELEMENT noNotification EMPTY>
+
+<!ELEMENT nonPersistent EMPTY>
+
+<!ELEMENT readOnly EMPTY>
+
+<!ELEMENT restricted EMPTY>
+
+<!ELEMENT static EMPTY>
+
+<!ELEMENT undefined EMPTY>
+
+
+<!-- Action -->
+<!ELEMENT action (description?, applicationTag?,
+ returnType, parameter*, raisesException*)>
+<!ATTLIST action name CDATA #REQUIRED>
+
+<!ELEMENT parameter (description?, applicationTag?,
+ (in | out | inout), dataType)>
+<!ATTLIST parameter name CDATA #REQUIRED>
+
+<!ELEMENT in EMPTY>
+<!ELEMENT inout EMPTY>
+<!ELEMENT out EMPTY>
+
+<!ELEMENT exception (description?, applicationTag?,
+ exceptionParameter*)>
+<!ATTLIST exception name CDATA #REQUIRED>
+
+<!ELEMENT exceptionParameter (description?, applicationTag?,
+ dataType)>
+<!ATTLIST exceptionParameter name CDATA #REQUIRED>
+
+<!ELEMENT raisesException EMPTY>
+<!ATTLIST raisesException name CDATA #REQUIRED>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.stub b/lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.stub
new file mode 100644
index 0000000000..78d980d5b7
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.stub
@@ -0,0 +1,5 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE wurfl [
+<!ELEMENT version (#PCDATA)>
+<!ELEMENT wurfl (version)>
+]>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.xml b/lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.xml
new file mode 100644
index 0000000000..ea96469af3
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/eventp/wurfl.xml
@@ -0,0 +1,9 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE wurfl [
+<!ELEMENT version (#PCDATA)>
+<!ELEMENT wurfl (version)>
+]>
+<wurfl>
+ <version>
+</version>
+</wurfl>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/misc.tar.gz b/lib/xmerl/test/xmerl_SUITE_data/misc.tar.gz
new file mode 100644
index 0000000000..c48a6f897b
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/misc.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/documentRoot.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/documentRoot.xml
new file mode 100644
index 0000000000..667a44b7fe
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/documentRoot.xml
@@ -0,0 +1,43 @@
+<?xml version="1.0" encoding="utf-8" ?>
+<documentRoot>
+<!-- Test data from
+ http://www.whitebeam.org/library/guide/TechNotes/xpathtestbed.rhtm -->
+<?value ="2"?>
+<parent name="data" >
+ <child id="1" name="alpha" >Some Text</child>
+ <child id="2" name="beta" >
+ <grandchild id="2.1" name="beta-alpha" ></grandchild>
+ <grandchild id="2.2" name="beta-beta" ></grandchild>
+ </child>
+ <pet name="tigger" type="cat" >
+ <data>
+ <birthday month="sept" day="19" ></birthday>
+ <food name="Acme Cat Food" ></food>
+ </data>
+ </pet>
+ <pet name="Fido" type="dog" >
+ <description>
+ Large dog!
+ </description>
+ <data>
+ <birthday month="feb" day="3" ></birthday>
+ <food name="Acme Dog Food" ></food>
+ </data>
+ </pet>
+ <rogue name="is this real?" >
+ <data>
+ Hates dogs!
+ </data>
+ </rogue>
+ <child id="3" name="gamma" mark="yes" >
+ <!-- A comment -->
+ <description>
+ Likes all animals - especially dogs!
+ </description>
+ <grandchild id="3.1" name="gamma-alpha" >
+ <![CDATA[ Some non-parsable character data ]]>
+ </grandchild>
+ <grandchild id="3.2" name="gamma-beta" ></grandchild>
+ </child>
+</parent>
+</documentRoot> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/e1074.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1074.xml
new file mode 100644
index 0000000000..920a9e67e1
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1074.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+ <root id="1">
+ <elem1 id="2">
+ <elem2 id="3">
+ <e id="4"/>
+ <elem3 id="5">
+ <e id="6"/>
+ </elem3>
+ <elem3 id="7"/>
+ </elem2>
+ </elem1>
+ <elem1 id="8">
+ <elem2 id="9"/>
+ <e id="10"/>
+ <e id="11"/>
+ </elem1>
+ <e id="12"/>
+ </root>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/e1075.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1075.xml
new file mode 100644
index 0000000000..920a9e67e1
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1075.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+ <root id="1">
+ <elem1 id="2">
+ <elem2 id="3">
+ <e id="4"/>
+ <elem3 id="5">
+ <e id="6"/>
+ </elem3>
+ <elem3 id="7"/>
+ </elem2>
+ </elem1>
+ <elem1 id="8">
+ <elem2 id="9"/>
+ <e id="10"/>
+ <e id="11"/>
+ </elem1>
+ <e id="12"/>
+ </root>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/e1076.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1076.xml
new file mode 100644
index 0000000000..c9ffebb202
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1076.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0" encoding="UTF-8"?>
+ <root id="1">
+ <elem1 id="2">cat</elem1>
+ <elem1 id="3"> dog </elem1>
+ <elem1 id="4">
+ cat
+ <elem2 id="5">
+ <elem3 id="6">cat</elem3>
+ </elem2>
+ dog
+ </elem1>
+ <elem1 id="7">Cat</elem1>
+ </root>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/e1077.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1077.xml
new file mode 100644
index 0000000000..9aa548e13c
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1077.xml
@@ -0,0 +1,16 @@
+<?xml version="1.0" encoding="UTF-8"?>
+ <!DOCTYPE root [ <!ELEMENT e (e*) >
+ <!ATTLIST e id ID #REQUIRED>
+ ]>
+ <root>
+ <e id="1">
+ <e id="two">
+ <e id="3"/>
+ <e id="4">
+ <e id="the fifth"/>
+ </e>
+ <e id="6"/>
+ </e>
+ </e>
+ <e id="seven"/>
+ </root>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/e1078.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1078.xml
new file mode 100644
index 0000000000..a90db50145
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/e1078.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+ <root id="1">
+ <elem1 id="2" pet="cat"/>
+ <elem1 id="3" pet=" dog " age="8"/>
+ <elem1 id="4" pet="Cat" >
+ <elem2 id="5">
+ <elem3 id="6" age="10"/>
+ </elem2>
+ </elem1>
+ <elem1 id="7" pet="dog"/>
+ </root>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/motorcycles.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/motorcycles.xml
new file mode 100644
index 0000000000..b3629dce34
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/motorcycles.xml
@@ -0,0 +1,25 @@
+<?xml version="1.0" encoding="utf-8" ?>
+<motorcycles>
+ <bike year="2000" color="black">
+ <name>
+ <manufacturer>Suzuki</manufacturer>
+ <brandName>Suzuki VL 1500</brandName>
+ <additionalName>Intruder</additionalName>
+ </name>
+ <engine>V-engine, 2-cylinders, 1500 cc</engine>
+ <kind>custom</kind>
+ <drive>cardan</drive>
+ <accessories>Sissy bar, luggage carrier,V&amp;H exhaust pipes</accessories>
+ </bike>
+ <date>2004.08.25</date>
+ <bike year="1983" color="read pearl">
+ <name>
+ <manufacturer>Yamaha</manufacturer>
+ <brandName>XJ 400</brandName>
+ </name>
+ <engine>4 cylinder, 400 cc</engine>
+ <kind>alround</kind>
+ <drive>chain</drive>
+ <comment>Good shape!</comment>
+ </bike>
+</motorcycles>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/myBS_model.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/myBS_model.xml
new file mode 100644
index 0000000000..58f90662a4
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/myBS_model.xml
@@ -0,0 +1,6 @@
+<myBS_model>
+
+ <blipp id="name1"/>
+ <blipp id="name2"/>
+
+</myBS_model>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/purchaseOrder.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/purchaseOrder.xml
new file mode 100644
index 0000000000..a5ae223d65
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/purchaseOrder.xml
@@ -0,0 +1,36 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder xmlns:apo="http://www.example.com/PO1"
+ orderDate="1999-10-20">
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath.xml b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath.xml
new file mode 100644
index 0000000000..248fbd413d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath.xml
@@ -0,0 +1,25 @@
+<myBS_model>
+
+ <blipp id="name1">
+ <blupp att="bluppa"/>
+ </blipp>
+ <blipp id="name2">
+ <blupp att2="bluppb">
+ <plopp name="pl">here are some text</plopp>
+ </blupp>
+ </blipp>
+ <blipp id="name3" test="test1">
+ <blupp att2="bluppc">
+ <plopp name="plp">here are some more text</plopp>
+ </blupp>
+ <blupp att="bluppc2"/>
+ </blipp>
+
+ <blipp2 bid="name1">
+ <blupp att="bluppa"/>
+ <blupp att2="bluppb">
+ <plopp name="pl">here are some additional text</plopp>
+ </blupp>
+ </blipp2>
+
+</myBS_model> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_abbrev.erl b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_abbrev.erl
new file mode 100644
index 0000000000..850b7f8135
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_abbrev.erl
@@ -0,0 +1,266 @@
+%%%-------------------------------------------------------------------
+%%% File : xpath_abbrev.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 17 Jan 2006 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(xpath_abbrev).
+
+-export([test/0, check_node_set/2, ticket_6873/0, ticket_7496/0, functions/0]).
+
+-include("test_server.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+
+test() ->
+ ?line {E,_} = xmerl_scan:file("xpath.xml"),
+
+ ?line Res1 = xmerl_xpath:string("blipp",E),
+ ?line ok = check_node_set("blipp",Res1),
+ ?line Res2 = xmerl_xpath:string("*",E),
+ ?line ok = check_node_set("*",Res2),
+ ?line Res3 = xmerl_xpath:string("blipp/blupp/plopp/text()",E),
+ ?line ok = check_node_set("blipp/blupp/plopp/text()",Res3),
+ ?line Res4 = xmerl_xpath:string("blipp/blupp/@att2",E),
+ ?line ok = check_node_set("blipp/blupp/@att2",Res4),
+ ?line Res5 = xmerl_xpath:string("blipp/@*",E),
+ ?line ok = check_node_set("blipp/@*",Res5),
+ ?line Res6 = xmerl_xpath:string("blipp[2]",E),
+ ?line ok = check_node_set("blipp[2]",Res6),
+ ?line Res7 = xmerl_xpath:string("blipp[last()]",E),
+ ?line ok = check_node_set("blipp[last()]",Res7),
+ ?line Res8 = xmerl_xpath:string("*/blupp",E),
+ ?line ok = check_node_set("*/blupp",Res8),
+ ?line Res9 = xmerl_xpath:string("/myBS_model/blipp[3]/blupp[2]",E),
+ ?line ok = check_node_set("/myBS_model/blipp[3]/blupp[2]",Res9),
+ ?line Res10 = xmerl_xpath:string("blipp//plopp",E),
+ ?line ok = check_node_set("blipp//plopp",Res10),
+ ?line Res11 = xmerl_xpath:string("//plopp",E),
+ ?line ok = check_node_set("//plopp",Res11),
+ ?line Res12 = xmerl_xpath:string("//blupp/plopp",E),
+ ?line ok = check_node_set("//blupp/plopp",Res12),
+ ?line Res13 = xmerl_xpath:string(".",E),
+ ?line ok = check_node_set(".",Res13),
+ ?line Res14 = xmerl_xpath:string(".//blipp2",E),
+ ?line ok = check_node_set(".//blipp2",Res14),
+ ?line Res15 = xmerl_xpath:string(".//blipp2/blupp/plopp/..",E),
+ ?line ok = check_node_set(".//blipp2/blupp/plopp/..",Res15),
+ ?line Res16 = xmerl_xpath:string(".//blipp[2]/blupp/plopp/../@att2",E),
+ ?line ok = check_node_set(".//blipp[2]/blupp/plopp/../@att2",Res16),
+ ?line Res17 = xmerl_xpath:string(".//blipp/blupp/plopp[2]/../@att2",E),
+ ?line ok = check_node_set(".//blipp/blupp/plopp[2]/../@att2",Res17),
+ ?line Res18 = xmerl_xpath:string("blipp[@id='name2']",E),
+ ?line ok = check_node_set("blipp[@id='name2']",Res18),
+ ?line Res19 = xmerl_xpath:string("blipp[@id='name2'][3]",E),
+ ?line ok = check_node_set("blipp[@id='name2'][3]",Res19),
+ ?line Res20 = xmerl_xpath:string("//blupp[plopp=\"here are some more text\"]",E),
+ ?line ok = check_node_set("//blupp[plopp=\"here are some more text\"]",Res20),
+ ?line Res21 = xmerl_xpath:string("//blupp[plopp]",E),
+ ?line ok = check_node_set("//blupp[plopp]",Res21),
+ ?line Res22 = xmerl_xpath:string("blipp[@id and @test]",E),
+ ?line ok = check_node_set("blipp[@id and @test]",Res22).
+
+check_node_set("blipp",[E1,E2,E3]) ->
+ ?line ok = xml_element_name(E1,blipp),
+ ?line ok = xml_element_name(E2,blipp),
+ ?line ok = xml_element_name(E3,blipp),
+ ok;
+check_node_set("*",[E1,E2,E3,E4]) ->
+ ?line ok = xml_element_name(E1,blipp),
+ ?line ok = xml_element_name(E2,blipp),
+ ?line ok = xml_element_name(E3,blipp),
+ ?line ok = xml_element_name(E4,blipp2),
+ ok;
+check_node_set("blipp/blupp/plopp/text()",[T1,T2]) ->
+ ?line #xmlText{value="here are some text"} = T1,
+ ?line #xmlText{value="here are some more text"} = T2,
+ ok;
+check_node_set("blipp/blupp/@att2",[A1,A2]) ->
+ ?line #xmlAttribute{name=att2} = A1,
+ ?line #xmlAttribute{name=att2} = A2,
+ ok;
+check_node_set("blipp/@*",[A1,A2,A3,A4]) ->
+ ?line #xmlAttribute{} = A1,
+ ?line #xmlAttribute{} = A2,
+ ?line #xmlAttribute{} = A3,
+ ?line #xmlAttribute{} = A4,
+ ok;
+check_node_set("blipp[2]",[E]) ->
+ ?line #xmlElement{name=blipp,
+ attributes=[#xmlAttribute{name=id,value="name2"}]} = E,
+ ok;
+check_node_set("blipp[last()]",[E]) ->
+ ?line #xmlElement{name=blipp,
+ attributes=[#xmlAttribute{name=id,value="name3"}|_]} = E,
+ ok;
+check_node_set("*/blupp",[E1,E2,E3,E4,E5,E6]) ->
+ ?line ok = xml_element_name(E1,blupp),
+ ?line ok = xml_element_name(E2,blupp),
+ ?line ok = xml_element_name(E3,blupp),
+ ?line ok = xml_element_name(E4,blupp),
+ ?line ok = xml_element_name(E5,blupp),
+ ?line ok = xml_element_name(E6,blupp),
+ ok;
+check_node_set("/myBS_model/blipp[3]/blupp[2]",[E]) ->
+ ?line #xmlElement{name=blupp,
+ attributes=[#xmlAttribute{name=att,value="bluppc2"}]}=E,
+ ok;
+check_node_set("blipp//plopp",[#xmlElement{name=plopp},#xmlElement{name=plopp}]) ->
+ ok;
+check_node_set("//plopp",[E1,E2,E3]) ->
+ ?line ok = xml_element_name(E1,plopp),
+ ?line ok = xml_element_name(E2,plopp),
+ ?line ok = xml_element_name(E3,plopp),
+ ok;
+check_node_set("//blupp/plopp",[E1,E2,E3]) ->
+ ?line ok = xml_element_name(E1,plopp),
+ ?line ok = xml_element_name(E2,plopp),
+ ?line ok = xml_element_name(E3,plopp),
+ ok;
+check_node_set(".",[#xmlElement{name=myBS_model}]) ->
+ ok;
+check_node_set(".//blipp2",[#xmlElement{name=blipp2}]) ->
+ ok;
+check_node_set(".//blipp2/blupp/plopp/..",[#xmlElement{name=blupp}]) ->
+ ok;
+check_node_set(".//blipp[2]/blupp/plopp/../@att2",[#xmlAttribute{name=att2,value="bluppb"}]) ->
+ ok;
+check_node_set(".//blipp/blupp/plopp[2]/../@att2",[#xmlAttribute{name=att2,value="bluppc"}]) ->
+ ok;
+check_node_set("blipp[@id='name2']",[E]) ->
+ ?line #xmlElement{name=blipp,
+ attributes=[#xmlAttribute{name=id,value="name2"}]}=E,
+ ok;
+check_node_set("blipp[@id='name2'][3]",[]) ->
+ ok;
+check_node_set("//blupp[plopp=\"here are some more text\"]",[E]) ->
+ ?line #xmlElement{name=blupp,
+ content=[_T,#xmlElement{name=plopp,content=C}|_]} = E,
+ ?line true = lists:keymember("here are some more text",#xmlText.value,C),
+ ok;
+check_node_set("//blupp[plopp]",[E1,E2,E3]) ->
+ ?line #xmlElement{name=blupp,
+ content=C1} = E1,
+ ?line true = lists:keymember(plopp,#xmlElement.name,C1),
+ ?line #xmlElement{name=blupp,
+ content=C2} = E2,
+ ?line true = lists:keymember(plopp,#xmlElement.name,C2),
+ ?line #xmlElement{name=blupp,
+ content=C3} = E3,
+ ?line true = lists:keymember(plopp,#xmlElement.name,C3),
+ ok;
+check_node_set("blipp[@id and @test]",[E]) ->
+ ?line #xmlElement{name=blipp,
+ attributes=Atts} = E,
+ ?line true = lists:keymember(id,#xmlAttribute.name,Atts),
+ ?line true = lists:keymember(test,#xmlAttribute.name,Atts),
+ ok;
+check_node_set(Pattern,NodeSet) ->
+ io:format("Pattern: ~p~nNodeSet: ~p~n",[Pattern,NodeSet]),
+ error.
+
+xml_element_name(E,N) ->
+ ?line #xmlElement{name=N} = E,
+ ok.
+
+ticket_6873() ->
+ ?line [#xmlElement{}] = xmerl_xpath:string("//foo[contains(@bar, 'oe')]",element(1,xmerl_scan:string("<foo bar=\"Joe\" />"))),
+ ok.
+
+ticket_7496() ->
+ Test = fun(Doc, XPath, Exp) ->
+ Result = xmerl_xpath:string(XPath, Doc),
+ ?line Exp = [Name || #xmlElement{name = Name} <- Result],
+ ok
+ end,
+ ?line {Doc1,_} = xmerl_scan:string("<a><b/> <c/> <d/> <e/></a>"),
+ ?line ok = Test(Doc1, "//b/following::*", [c, d, e]),
+ ?line ok = Test(Doc1,"//b/following::*[1]", [c]),
+ ?line ok = Test(Doc1,"//b/following::*[position()=1]", [c]),
+ ?line ok = Test(Doc1,"//b/following::*[3]", [e]),
+ ?line ok = Test(Doc1,"//b/following::*[position()=3]", [e]),
+ ?line ok = Test(Doc1,"//e/preceding::*", [b, c, d]),
+ ?line ok = Test(Doc1,"//e/preceding::*[1]", [d]),
+ ?line ok = Test(Doc1,"//e/preceding::*[position()=1]", [d]),
+ ?line ok = Test(Doc1,"//e/preceding::*[3]", [b]),
+ ?line ok = Test(Doc1,"//e/preceding::*[position()=3]", [b]),
+ ?line ok = Test(Doc1,"//b/following::*[position() mod 2=0]", [d]),
+ ?line ok = Test(Doc1,"//b/self::*", [b]),
+
+ ?line {Doc2,_} = xmerl_scan:string("<a><b/> <c><d/></c> <e/> <f><g/></f> <h/> <i><j/></i> <k/></a>"),
+ ?line ok = Test(Doc2,"//g/preceding::*", [b, c, d, e]),
+ ?line ok = Test(Doc2, "//g/following::*", [h, i, j, k]),
+ ?line ok = Test(Doc2,"//g/ancestor::*", [a, f]),
+ ?line ok = Test(Doc2,"//g/ancestor::*[1]", [f]),
+ ?line ok = Test(Doc2,"//g/ancestor::*[2]", [a]),
+ ?line ok = Test(Doc2,"//g/ancestor-or-self::*", [a, f, g]),
+ ?line ok = Test(Doc2,"//g/ancestor-or-self::*[1]", [g]),
+ ?line ok = Test(Doc2,"//g/ancestor-or-self::*[2]", [f]),
+ ?line ok = Test(Doc2,"//g/ancestor-or-self::*[3]", [a]),
+ ?line ok = Test(Doc2,"/descendant::*", [a, b, c, d, e, f, g, h, i, j, k]),
+ ?line ok = Test(Doc2,"//f/preceding-sibling::*", [b, c, e]),
+ ?line ok = Test(Doc2,"//f/following-sibling::*", [h, i, k]),
+ ?line ok = Test(Doc2,"//f/self::*", [f]),
+ ?line ok = Test(Doc2,"//f/ancestor::*", [a]),
+ ?line ok = Test(Doc2,"//f/descendant::*", [g]),
+ ?line ok = Test(Doc2,"//f/preceding::*", [b, c, d, e]),
+ ?line ok = Test(Doc2,"//f/following::*", [h, i, j, k]),
+ ?line ok = Test(Doc2,"//text()[1]/following-sibling::*", [c, e, f, h, i, k]),
+
+ ?line {Doc3,_} = xmerl_scan:file("documentRoot.xml"),
+ ?line ok = Test(Doc3,"//child",[child,child,child]),
+ ?line ok = Test(Doc3,"//child[@name='beta']",[child]),
+ ?line [{xmlAttribute,id,[],[],[],[],1,[],"2",false}] =
+ xmerl_xpath:string("/documentRoot/parent/child[@name='beta']/@id",Doc3),
+ ?line ok = Test(Doc3,"/documentRoot/parent/child|/documentRoot/parent/pet",
+ [child,child,child,pet,pet]),
+ ?line ok = Test(Doc3,"//*[starts-with(local-name(),'p')]",
+ [parent,pet,pet]).
+
+
+functions() ->
+ Test = fun(Doc, XPath, Exp) ->
+ Result = xmerl_xpath:string(XPath, Doc),
+ ?line Exp = [begin
+ case Obj of
+ #xmlElement{name = EName} ->
+ EName;
+ #xmlAttribute{name = AName} ->
+ AName;
+ #xmlText{value=Text} ->
+ Text
+ end
+ end|| Obj <- Result],
+ ok
+ end,
+ Foo =
+ "<foo>"
+ " <bar>"
+ " <name>Xml</name>"
+ " <value>1</value>"
+ " </bar>"
+ " <bar>"
+ " <name>Xpath</name>"
+ " <value>2</value>"
+ " </bar>"
+ " <bar>"
+ " <name>Erlang</name>"
+ " <value>3</value>"
+ " </bar>"
+ "</foo>",
+ {Doc,_} = xmerl_scan:string(Foo),
+ ?line ok = Test(Doc,"/foo/bar[name = 'Xml']/value/text()",["1"]),
+ ?line ok = Test(Doc,"/foo/bar/node()/text()",
+ ["Xml","1","Xpath","2","Erlang","3"]),
+ ?line ok = Test(Doc,"/foo/bar[contains(name, 'path')]",[bar]),
+ ?line ok = Test(Doc,"/foo/bar[starts-with(name, 'X')]",[bar,bar]),
+ ?line ok = Test(Doc,"/foo/bar[value = string(1)]/value/text()",["1"]),
+
+
+ {Doc2,_}= xmerl_scan:file("purchaseOrder.xml"),
+ ?line ok = Test(Doc2,"//*[starts-with(local-name(),'c')]",
+ ['apo:comment',city,city,comment]),
+ ?line ok = Test(Doc2,"//*[starts-with(name(),'c')]",
+ [city,city,comment]),
+ ?line ok = Test(Doc2,"//*[starts-with(name(),'{http://www.example.com/PO1')]",
+ ['apo:purchaseOrder','apo:comment']).
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_lib.erl b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_lib.erl
new file mode 100644
index 0000000000..4cde46826e
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_lib.erl
@@ -0,0 +1,184 @@
+%%%-------------------------------------------------------------------
+%%% File : xpath_lib.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 13 Jan 2006 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(xpath_lib).
+
+-export([test/0,check_node_set/2,ticket_6873/0]).
+
+-include("test_server.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+
+test() ->
+ ?line {E,_} = xmerl_scan:file("myBS_model.xml"),
+ ?line Res1 = xmerl_xpath:string("blipp",E),
+ ?line ok = check_node_set("blipp",Res1),
+ ?line Res2 = xmerl_xpath:string("//blipp",E),
+ ?line ok = check_node_set("//blipp",Res2),
+ ?line Res3 = xmerl_xpath:string("/myBS_model/blipp",E),
+ ?line ok = check_node_set("/myBS_model/blipp",Res3),
+ ?line Res4 = xmerl_xpath:string("blipp[@id=\"name1\"]",E),
+ ?line ok = check_node_set("blipp[@id=\"name1\"]",Res4),
+ ?line Res5 = xmerl_xpath:string("//blipp[@id=\"name1\"]",E),
+ ?line ok = check_node_set("//blipp[@id=\"name1\"]",Res5),
+ ?line Res6 = xmerl_xpath:string("/myBS_model/blipp[@id=\"name1\"]",E),
+ ?line ok = check_node_set("/myBS_model/blipp[@id=\"name1\"]",Res6).
+
+
+check_node_set("blipp",[H1,H2]) ->
+ ?line #xmlElement{name = blipp} = H1,
+ ?line #xmlElement{name = blipp} = H2,
+ ok;
+check_node_set("//blipp",[H1,H2]) ->
+ ?line #xmlElement{name = blipp} = H1,
+ ?line #xmlElement{name = blipp} = H2,
+ ok;
+check_node_set("/myBS_model/blipp",[H1,H2]) ->
+ ?line #xmlElement{name = blipp} = H1,
+ ?line #xmlElement{name = blipp} = H2,
+ ok;
+check_node_set("blipp[@id=\"name1\"]",[H]) ->
+ ?line H#xmlElement{attributes=#xmlAttribute{name=id,value="name1"}},
+ ok;
+check_node_set("//blipp[@id=\"name1\"]",[H]) ->
+ ?line H#xmlElement{attributes=#xmlAttribute{name=id,value="name1"}},
+ ok;
+check_node_set("/myBS_model/blipp[@id=\"name1\"]",[H]) ->
+ ?line H#xmlElement{attributes=#xmlAttribute{name=id,value="name1"}},
+ ok.
+
+ticket_6873() ->
+ GetId =
+ fun(Atts) ->
+ case lists:keysearch(id,#xmlAttribute.name,Atts) of
+ {value,#xmlAttribute{value=AttV}} -> AttV;
+ _ -> novalue
+ end
+ end,
+ Test =
+ fun(Doc, XPath, Exp) ->
+ Result = xmerl_xpath:string(XPath, Doc),
+ Exp = [begin
+ case Obj of
+ #xmlElement{name = EName,attributes=Atts} ->
+ {EName,GetId(Atts)};
+ #xmlAttribute{name = AName} ->
+ AName;
+ #xmlText{value=Text} ->
+ Text
+ end
+ end|| Obj <- Result],
+ ok
+ end,
+
+
+
+ Doc1 = get_doc("e1074"),
+ ?line ok = Test(Doc1,"/*",[{root,"1"}]),
+ ?line ok = Test(Doc1,"/root",[{root,"1"}]),
+ ?line ok = Test(Doc1,"/root/*",[{elem1,"2"},{elem1,"8"},{e,"12"}]),
+ ?line ok = Test(Doc1,"/root/e",[{e,"12"}]),
+ ?line ok = Test(Doc1,"//e",[{e,"12"},{e,"4"},{e,"6"},{e,"10"},{e,"11"}]),
+ ?line ok = Test(Doc1,"//*[name() != 'e']",
+ [{root,"1"},{elem1,"2"},{elem1,"8"},{elem2,"3"},
+ {elem3,"5"},{elem3,"7"},{elem2,"9"}]),
+ ?line ok = Test(Doc1,"//elem1/e",[{e,"10"},{e,"11"}]),
+ ?line ok = Test(Doc1,"//elem1//e",[{e,"4"},{e,"6"},{e,"10"},{e,"11"}]),
+ ?line ok = Test(Doc1,"//*[*]",
+ [{root,"1"},{elem1,"2"},{elem1,"8"},
+ {elem2,"3"},{elem3,"5"}]),
+ ?line ok = Test(Doc1,"//*[not(*)]",
+ [{e,"12"},{e,"4"},{elem3,"7"},{e,"6"},
+ {elem2,"9"},{e,"10"},{e,"11"}]),
+ %% contents would be empty in the above expression
+ ?line [#xmlElement{content=[]}|_] = xmerl_xpath:string("//*[not(*)]",Doc1),
+ ?line ok = Test(Doc1,"//*[e]",[{root,"1"},{elem1,"8"},{elem2,"3"},{elem3,"5"}]),
+ ?line ok = Test(Doc1,"//*[count(e)>1]",[{elem1,"8"}]),
+ ?line ok = Test(Doc1,"//*[not(e) and name() != 'e']",
+ [{elem1,"2"},{elem3,"7"},{elem2,"9"}]),
+ ?line ok = Test(Doc1,"/*/*/*/e",[{e,"4"}]),
+ ?line ok = Test(Doc1,"//*[starts-with(name(), 'el')]",
+ [{elem1,"2"},{elem1,"8"},{elem2,"3"},
+ {elem3,"5"},{elem3,"7"},{elem2,"9"}]),
+ ?line ok = Test(Doc1,"//*[contains(name(), 'lem1')]",
+ [{elem1,"2"},{elem1,"8"}]),
+ ?line ok = Test(Doc1,"/*/e | //elem2/e",[{e,"4"},{e,"12"}]),
+
+ io:format("Tested ~p~n",[e1074]),
+
+ Doc2 = get_doc("e1075"),
+ ?line ok = Test(Doc2,"/*/*[1]",[{elem1,"2"}]),
+ ?line ok = Test(Doc2,"/root/elem1[2]",[{elem1,"8"}]),
+
+ %% Get all first-born e elements in the document; that is, for all
+ %% e elements with e element siblings, include only the first
+ %% sibling.Note that //e[1] does not return the first e element in
+ %% the document because the [1] predicate applies to e, which
+ %% represents the set of e elements under one element and not to
+ %% //e, which represents the set of e elements in the document.
+ %% ?line ok = Test(Doc2,"//e[1]",[{e,"4"},{e,"6"},{e,"10"},{e,"12"}]),
+
+ %% The following expression retrieves the first e element in the
+ %% document:
+ %% ?line ok = Test(Doc2,"(//e)[1]",[{e,4}]),
+
+ %% For all e elements with e element siblings, include only the
+ %% first 3 siblings
+ %% ?line ok = Test(Doc2,"//e[position() <= 3]",[{e,"4"},{e,"6"},{e,"10"},{e,"11"},{e,"12"}]),
+
+ %% Get all last-born e elements in the document; that is, for all
+ %% e elements with e element siblings, include only the last
+ %% sibling
+ %% ?line ok = Test(Doc2,"//e[last()]",[{e,"4"},{e,"6"},{e,"11"},{e,"12"}]),
+
+ %% Get the last e element in the document
+ %% ?line ok = Test(Doc2,"(//e)[last()]", [{e,"12"}]),
+
+
+ io:format("Tested ~p~n",[e1075]),
+
+
+ Doc3 = get_doc("e1076"),
+ ?line ok = Test(Doc3,"//*[.='cat']",[{elem1,"2"},{elem3,"6"}]),
+ ?line ok = Test(Doc3,"//*[.='dog']",[]),
+ ?line ok = Test(Doc3,"//*[contains(.,'cat')]",
+ [{elem1,"2"},{elem1,"4"},{elem3,"6"}]),
+ ?line ok = Test(Doc3,"//elem3[contains(.,'cat')]",[{elem3,"6"}]),
+ ?line ok = Test(Doc3,"//*[contains(child::text(),'cat')]",[{elem1,"2"},{elem1,"4"},{elem3,"6"}]),
+ ?line ok = Test(Doc3,"//*[count(*)=0 and contains(.,'cat')]",[{elem1,"2"},{elem3,"6"}]),
+ ?line ok = Test(Doc3,"//*[contains(translate(.,'abcdefghijklmnopqrstuvwxyz', 'ABCDEFGHIJKLMNOPQRSTUVWXYZ'),'CAT')]",[{elem1,"2"},{elem1,"4"},{elem1,"7"},{elem3,"6"}]),
+
+ io:format("Tested ~p~n",[e1076]),
+
+ Doc4 = get_doc("e1078"),
+ ?line ok = Test(Doc4,"//*[@pet='cat']",[{elem1,"2"}]),
+ ?line ok = Test(Doc4,"//*[@pet='dog']",[{elem1,"7"}]),
+ ?line ok = Test(Doc4,"//*[contains(@pet,'dog')]",
+ [{elem1,"3"},{elem1,"7"}]),
+ ?line ok = Test(Doc4,"//*[@age]",[{elem1,"3"},{elem3,"6"}]),
+ ?line ok = Test(Doc4,"//elem1[@age]",[{elem1,"3"}]),
+ ?line ok = Test(Doc4,"//*[@pet and @age]",[{elem1,"3"}]),
+ ?line ok = Test(Doc4,"//*[contains(translate(@pet,'abcdefghijklmnopqrstuvwxyz', 'ABCDEFGHIJKLMNOPQRSTUVWXYZ'),'CAT')]",[{elem1,"2"},{elem1,"4"}]),
+
+ io:format("Tested ~p~n",[e1078]),
+
+
+ Doc5 = get_doc("e1077"),
+ ?line ok = Test(Doc5,"id('3')",[{e,"3"}]),
+
+ %% Get all e elements directly under element id 3
+ %% ?line ok = Test(Doc5,"id('two')/e",[{e,"3"},{e,"4"},{e,"6"}]),
+ ?line ok = Test(Doc5,"id('two 3 seven the fifth')",[{e,"seven"},{e,"3"},{e,"two"}]),
+ ?line ok = Test(Doc5,"id('100')",[]),
+
+ io:format("Tested ~p~n",[e1077]),
+ ok.
+
+
+
+get_doc(Name) ->
+ ?line {Doc,_} = xmerl_scan:file(Name++".xml"),
+ Doc.
diff --git a/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_text.erl b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_text.erl
new file mode 100644
index 0000000000..e39ad6bcb0
--- /dev/null
+++ b/lib/xmerl/test/xmerl_SUITE_data/xpath/xpath_text.erl
@@ -0,0 +1,28 @@
+%%%-------------------------------------------------------------------
+%%% File : xpath_text.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 14 Dec 2004 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(xpath_text).
+
+-compile(export_all).
+
+-include("test_server.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+
+-import(xmerl_xs,
+ [ xslapply/2, value_of/1, select/2, built_in_rules/2 ]).
+
+
+one() ->
+ ?line {A,_}=xmerl_scan:file('motorcycles.xml'),
+ ?line [["Suzuki","Yamaha"]] = template(A),
+ ok.
+
+%%% templates, test of OTP-5268
+template(E = #xmlElement{name='motorcycles'}) ->
+ [value_of(select("bike/name/manufacturer/text()",E))];
+template(E) -> built_in_rules(fun template/1, E).
+
diff --git a/lib/xmerl/test/xmerl_app_test.erl b/lib/xmerl/test/xmerl_app_test.erl
new file mode 100644
index 0000000000..4cc4bf3791
--- /dev/null
+++ b/lib/xmerl/test/xmerl_app_test.erl
@@ -0,0 +1,242 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2004-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%----------------------------------------------------------------------
+%% Purpose: Verify the application specifics of the Megaco application
+%%----------------------------------------------------------------------
+-module(xmerl_app_test).
+
+-compile(export_all).
+
+%-include("megaco_test_lib.hrl").
+
+
+% t() -> megaco_test_lib:t(?MODULE).
+% t(Case) -> megaco_test_lib:t({?MODULE, Case}).
+
+
+% %% Test server callbacks
+% init_per_testcase(Case, Config) ->
+% megaco_test_lib:init_per_testcase(Case, Config).
+
+% end_per_testcase(Case, Config) ->
+% megaco_test_lib:end_per_testcase(Case, Config).
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+all() ->
+ [fields, modules, exportall, app_depend].
+
+groups() ->
+ [].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+init_per_suite(suite) -> [];
+init_per_suite(doc) -> [];
+init_per_suite(Config) when is_list(Config) ->
+ case is_app(xmerl) of
+ {ok, AppFile} ->
+ io:format("AppFile: ~n~p~n", [AppFile]),
+ [{app_file, AppFile}|Config];
+ {error, Reason} ->
+ fail(Reason)
+ end.
+
+is_app(App) ->
+ LibDir = code:lib_dir(App),
+ File = filename:join([LibDir, "ebin", atom_to_list(App) ++ ".app"]),
+ case file:consult(File) of
+ {ok, [{application, App, AppFile}]} ->
+ {ok, AppFile};
+ Error ->
+ {error, {invalid_format, Error}}
+ end.
+
+
+end_per_suite(suite) -> [];
+end_per_suite(doc) -> [];
+end_per_suite(Config) when is_list(Config) ->
+ Config.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+fields(suite) ->
+ [];
+fields(doc) ->
+ [];
+fields(Config) when is_list(Config) ->
+ AppFile = key1search(app_file, Config),
+ Fields = [vsn, description, modules, registered, applications],
+ case check_fields(Fields, AppFile, []) of
+ [] ->
+ ok;
+ Missing ->
+ fail({missing_fields, Missing})
+ end.
+
+check_fields([], _AppFile, Missing) ->
+ Missing;
+check_fields([Field|Fields], AppFile, Missing) ->
+ check_fields(Fields, AppFile, check_field(Field, AppFile, Missing)).
+
+check_field(Name, AppFile, Missing) ->
+ io:format("checking field: ~p~n", [Name]),
+ case lists:keymember(Name, 1, AppFile) of
+ true ->
+ Missing;
+ false ->
+ [Name|Missing]
+ end.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+modules(suite) ->
+ [];
+modules(doc) ->
+ [];
+modules(Config) when is_list(Config) ->
+ AppFile = key1search(app_file, Config),
+ Mods = key1search(modules, AppFile),
+ EbinList = get_ebin_mods(xmerl),
+ case missing_modules(Mods, EbinList, []) of
+ [] ->
+ ok;
+ Missing ->
+ throw({error, {missing_modules, Missing}})
+ end,
+ case extra_modules(Mods, EbinList, []) of
+ [] ->
+ ok;
+ Extra ->
+ throw({error, {extra_modules, Extra}})
+ end,
+ {ok, Mods}.
+
+get_ebin_mods(App) ->
+ LibDir = code:lib_dir(App),
+ EbinDir = filename:join([LibDir,"ebin"]),
+ {ok, Files0} = file:list_dir(EbinDir),
+ Files1 = [lists:reverse(File) || File <- Files0],
+ [list_to_atom(lists:reverse(Name)) || [$m,$a,$e,$b,$.|Name] <- Files1].
+
+
+missing_modules([], _Ebins, Missing) ->
+ Missing;
+missing_modules([Mod|Mods], Ebins, Missing) ->
+ case lists:member(Mod, Ebins) of
+ true ->
+ missing_modules(Mods, Ebins, Missing);
+ false ->
+ io:format("missing module: ~p~n", [Mod]),
+ missing_modules(Mods, Ebins, [Mod|Missing])
+ end.
+
+
+extra_modules(_Mods, [], Extra) ->
+ Extra;
+extra_modules(Mods, [Mod|Ebins], Extra) ->
+ case lists:member(Mod, Mods) of
+ true ->
+ extra_modules(Mods, Ebins, Extra);
+ false ->
+ io:format("supefluous module: ~p~n", [Mod]),
+ extra_modules(Mods, Ebins, [Mod|Extra])
+ end.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+
+exportall(suite) ->
+ [];
+exportall(doc) ->
+ [];
+exportall(Config) when is_list(Config) ->
+ AppFile = key1search(app_file, Config),
+ Mods = key1search(modules, AppFile),
+ check_export_all(Mods).
+
+
+check_export_all([]) ->
+ ok;
+check_export_all([Mod|Mods]) ->
+ case (catch apply(Mod, module_info, [compile])) of
+ {'EXIT', {undef, _}} ->
+ check_export_all(Mods);
+ O ->
+ case lists:keysearch(options, 1, O) of
+ false ->
+ check_export_all(Mods);
+ {value, {options, List}} ->
+ case lists:member(export_all, List) of
+ true ->
+ throw({error, {export_all, Mod}});
+ false ->
+ check_export_all(Mods)
+ end
+ end
+ end.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+app_depend(suite) ->
+ [];
+app_depend(doc) ->
+ [];
+app_depend(Config) when is_list(Config) ->
+ AppFile = key1search(app_file, Config),
+ Apps = key1search(applications, AppFile),
+ check_apps(Apps).
+
+
+check_apps([]) ->
+ ok;
+check_apps([App|Apps]) ->
+ case is_app(App) of
+ {ok, _} ->
+ check_apps(Apps);
+ Error ->
+ throw({error, {missing_app, {App, Error}}})
+ end.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+
+fail(Reason) ->
+ exit({suite_failed, Reason}).
+
+key1search(Key, L) ->
+ case lists:keysearch(Key, 1, L) of
+ undefined ->
+ fail({not_found, Key, L});
+ {value, {Key, Value}} ->
+ Value
+ end.
diff --git a/lib/xmerl/test/xmerl_appup_test.erl b/lib/xmerl/test/xmerl_appup_test.erl
new file mode 100644
index 0000000000..c1b1bfe78d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_appup_test.erl
@@ -0,0 +1,392 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2004-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%----------------------------------------------------------------------
+%% Purpose: Verify the application specifics of the Megaco application
+%%----------------------------------------------------------------------
+-module(xmerl_appup_test).
+
+-compile(export_all).
+
+%-include("megaco_test_lib.hrl").
+
+
+%t() -> megaco_test_lib:t(?MODULE).
+%t(Case) -> megaco_test_lib:t({?MODULE, Case}).
+
+
+%% Test server callbacks
+% init_per_testcase(Case, Config) ->
+% megaco_test_lib:init_per_testcase(Case, Config).
+
+% end_per_testcase(Case, Config) ->
+% megaco_test_lib:end_per_testcase(Case, Config).
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+all() ->
+ [appup].
+
+groups() ->
+ [].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+init_per_suite(suite) -> [];
+init_per_suite(doc) -> [];
+init_per_suite(Config) when is_list(Config) ->
+ AppFile = file_name(xmerl, ".app"),
+ AppupFile = file_name(xmerl, ".appup"),
+ [{app_file, AppFile}, {appup_file, AppupFile}|Config].
+
+
+file_name(App, Ext) ->
+ LibDir = code:lib_dir(App),
+ filename:join([LibDir, "ebin", atom_to_list(App) ++ Ext]).
+
+
+end_per_suite(suite) -> [];
+end_per_suite(doc) -> [];
+end_per_suite(Config) when is_list(Config) ->
+ Config.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+appup(suite) ->
+ [];
+appup(doc) ->
+ "perform a simple check of the appup file";
+appup(Config) when is_list(Config) ->
+ AppupFile = key1search(appup_file, Config),
+ AppFile = key1search(app_file, Config),
+ Modules = modules(AppFile),
+ check_appup(AppupFile, Modules).
+
+modules(File) ->
+ case file:consult(File) of
+ {ok, [{application,xmerl,Info}]} ->
+ case lists:keysearch(modules,1,Info) of
+ {value, {modules, Modules}} ->
+ Modules;
+ false ->
+ fail({bad_appinfo, Info})
+ end;
+ Error ->
+ fail({bad_appfile, Error})
+ end.
+
+
+check_appup(AppupFile, Modules) ->
+ case file:consult(AppupFile) of
+ {ok, [{V, UpFrom, DownTo}]} ->
+ io:format("V= ~p, UpFrom= ~p, DownTo= ~p, Modules= ~p~n",
+ [V, UpFrom, DownTo, Modules]),
+ check_appup(V, UpFrom, DownTo, Modules);
+ Else ->
+ fail({bad_appupfile, Else})
+ end.
+
+
+check_appup(V, UpFrom, DownTo, Modules) ->
+ check_version(V),
+ check_depends(up, UpFrom, Modules),
+ check_depends(down, DownTo, Modules),
+ ok.
+
+
+check_depends(_, [], _) ->
+ ok;
+check_depends(UpDown, [Dep|Deps], Modules) ->
+ check_depend(UpDown, Dep, Modules),
+ check_depends(UpDown, Deps, Modules).
+
+
+check_depend(up,I={add_application, _App}, Modules) ->
+ d("check_instructions(~w) -> entry with"
+ "~n Instruction: ~p"
+ "~n Modules: ~p", [up,I , Modules]),
+ ok;
+check_depend(down,I={remove_application, _App}, Modules) ->
+ d("check_instructions(~w) -> entry with"
+ "~n Instruction: ~p"
+ "~n Modules: ~p", [down,I , Modules]),
+ ok;
+check_depend(UpDown, {V, Instructions}, Modules) ->
+ d("check_instructions(~w) -> entry with"
+ "~n V: ~p"
+ "~n Modules: ~p", [UpDown, V, Modules]),
+ check_version(V),
+ case check_instructions(UpDown,
+ Instructions, Instructions, [], [], Modules) of
+ {_Good, []} ->
+ ok;
+ {_, Bad} ->
+ fail({bad_instructions, Bad, UpDown})
+ end.
+
+
+check_instructions(_, [], _, Good, Bad, _) ->
+ {lists:reverse(Good), lists:reverse(Bad)};
+check_instructions(UpDown, [Instr|Instrs], AllInstr, Good, Bad, Modules) ->
+ d("check_instructions(~w) -> entry with"
+ "~n Instr: ~p", [UpDown,Instr]),
+ case (catch check_instruction(UpDown, Instr, AllInstr, Modules)) of
+ ok ->
+ check_instructions(UpDown, Instrs, AllInstr,
+ [Instr|Good], Bad, Modules);
+ {error, Reason} ->
+ d("check_instructions(~w) -> bad instruction: "
+ "~n Reason: ~p", [UpDown,Reason]),
+ check_instructions(UpDown, Instrs, AllInstr, Good,
+ [{Instr, Reason}|Bad], Modules)
+ end.
+
+%% A new module is added
+check_instruction(up, {add_module, Module}, _, Modules)
+ when is_atom(Module) ->
+ d("check_instruction -> entry when up-add_module instruction with"
+ "~n Module: ~p", [Module]),
+ check_module(Module, Modules);
+
+%% An old module is re-added
+check_instruction(down, {add_module, Module}, _, Modules)
+ when is_atom(Module) ->
+ d("check_instruction -> entry when down-add_module instruction with"
+ "~n Module: ~p", [Module]),
+ case (catch check_module(Module, Modules)) of
+ {error, {unknown_module, Module, Modules}} ->
+ ok;
+ ok ->
+ error({existing_readded_module, Module})
+ end;
+
+%% Removing a module on upgrade:
+%% - the module has been removed from the app-file.
+%% - check that no module depends on this (removed) module
+check_instruction(up, {remove, {Module, Pre, Post}}, _, Modules)
+ when is_atom(Module), is_atom(Pre), is_atom(Post) ->
+ d("check_instruction -> entry when up-remove instruction with"
+ "~n Module: ~p"
+ "~n Pre: ~p"
+ "~n Post: ~p", [Module, Pre, Post]),
+ case (catch check_module(Module, Modules)) of
+ {error, {unknown_module, Module, Modules}} ->
+ check_purge(Pre),
+ check_purge(Post);
+ ok ->
+ error({existing_removed_module, Module})
+ end;
+
+%% Removing a module on downgrade: the module exist
+%% in the app-file.
+check_instruction(down, {remove, {Module, Pre, Post}}, AllInstr, Modules)
+ when is_atom(Module), is_atom(Pre), is_atom(Post) ->
+ d("check_instruction -> entry when down-remove instruction with"
+ "~n Module: ~p"
+ "~n Pre: ~p"
+ "~n Post: ~p", [Module, Pre, Post]),
+ case (catch check_module(Module, Modules)) of
+ ok ->
+ check_purge(Pre),
+ check_purge(Post),
+ check_no_remove_depends(Module, AllInstr);
+ {error, {unknown_module, Module, Modules}} ->
+ error({nonexisting_removed_module, Module})
+ end;
+
+check_instruction(_, {load_module, Module, Pre, Post, Depend},
+ AllInstr, Modules)
+ when is_atom(Module), is_atom(Pre), is_atom(Post), is_list(Depend) ->
+ d("check_instruction -> entry when load_module instruction with"
+ "~n Module: ~p"
+ "~n Pre: ~p"
+ "~n Post: ~p"
+ "~n Depend: ~p", [Module, Pre, Post, Depend]),
+ check_module(Module, Modules),
+ check_module_depend(Module, Depend, Modules),
+ check_module_depend(Module, Depend, updated_modules(AllInstr, [])),
+ check_purge(Pre),
+ check_purge(Post);
+
+check_instruction(_, {update, Module, Change, Pre, Post, Depend},
+ AllInstr, Modules)
+ when is_atom(Module), is_atom(Pre), is_atom(Post), is_list(Depend) ->
+ d("check_instruction -> entry when update instruction with"
+ "~n Module: ~p"
+ "~n Change: ~p"
+ "~n Pre: ~p"
+ "~n Post: ~p"
+ "~n Depend: ~p", [Module, Change, Pre, Post, Depend]),
+ check_module(Module, Modules),
+ check_module_depend(Module, Depend, Modules),
+ check_module_depend(Module, Depend, updated_modules(AllInstr, [])),
+ check_change(Change),
+ check_purge(Pre),
+ check_purge(Post);
+
+check_instruction(_, Instr, _AllInstr, _Modules) ->
+ d("check_instruction -> entry when unknown instruction with"
+ "~n Instr: ~p", [Instr]),
+ error({error, {unknown_instruction, Instr}}).
+
+
+%% If Module X depends on Module Y, then module Y must have an update
+%% instruction of some sort (otherwise the depend is faulty).
+updated_modules([], Modules) ->
+ d("update_modules -> entry when done with"
+ "~n Modules: ~p", [Modules]),
+ Modules;
+updated_modules([Instr |Instrs], Modules) ->
+ d("update_modules -> entry with"
+ "~n Instr: ~p"
+ "~n Modules: ~p", [Instr,Modules]),
+ Module = instruction_module(Instr),
+ d("update_modules -> Module: ~p", [Module]),
+ updated_modules(Instrs, [Module|Modules]).
+
+instruction_module({add_module, Module}) ->
+ Module;
+instruction_module({remove, {Module, _, _}}) ->
+ Module;
+instruction_module({load_module, Module, _, _, _}) ->
+ Module;
+instruction_module({update, Module, _, _, _, _}) ->
+ Module;
+instruction_module(Instr) ->
+ d("instruction_module -> entry when unknown instruction with"
+ "~n Instr: ~p", [Instr]),
+ error({error, {unknown_instruction, Instr}}).
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+check_version(V) when is_list(V) ->
+ ok;
+check_version(V) ->
+ error({bad_version, V}).
+
+
+check_module(M, Modules) when is_atom(M) ->
+ case lists:member(M,Modules) of
+ true ->
+ ok;
+ false ->
+ error({unknown_module, M, Modules})
+ end;
+check_module(M, _) ->
+ error({bad_module, M}).
+
+
+check_module_depend(M, [], _) when is_atom(M) ->
+ d("check_module_depend -> entry with"
+ "~n M: ~p", [M]),
+ ok;
+check_module_depend(M, Deps, Modules) when is_atom(M), is_list(Deps) ->
+ d("check_module_depend -> entry with"
+ "~n M: ~p"
+ "~n Deps: ~p"
+ "~n Modules: ~p", [M, Deps, Modules]),
+ case [Dep || Dep <- Deps, lists:member(Dep, Modules) == false] of
+ [] ->
+ ok;
+ Unknown ->
+ error({unknown_depend_modules, Unknown})
+ end;
+check_module_depend(_M, D, _Modules) ->
+ d("check_module_depend -> entry when bad depend with"
+ "~n D: ~p", [D]),
+ error({bad_depend, D}).
+
+
+check_no_remove_depends(_Module, []) ->
+ ok;
+check_no_remove_depends(Module, [Instr|Instrs]) ->
+ check_no_remove_depend(Module, Instr),
+ check_no_remove_depends(Module, Instrs).
+
+check_no_remove_depend(Module, {load_module, Mod, _Pre, _Post, Depend}) ->
+ case lists:member(Module, Depend) of
+ true ->
+ error({removed_module_in_depend, load_module, Mod, Module});
+ false ->
+ ok
+ end;
+check_no_remove_depend(Module, {update, Mod, _Change, _Pre, _Post, Depend}) ->
+ case lists:member(Module, Depend) of
+ true ->
+ error({removed_module_in_depend, update, Mod, Module});
+ false ->
+ ok
+ end;
+check_no_remove_depend(_, _) ->
+ ok.
+
+
+check_change(soft) ->
+ ok;
+check_change({advanced, _Something}) ->
+ ok;
+check_change(Change) ->
+ error({bad_change, Change}).
+
+
+check_purge(soft_purge) ->
+ ok;
+check_purge(brutal_purge) ->
+ ok;
+check_purge(Purge) ->
+ error({bad_purge, Purge}).
+
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+error(Reason) ->
+ throw({error, Reason}).
+
+fail(Reason) ->
+ exit({suite_failed, Reason}).
+
+key1search(Key, L) ->
+ case lists:keysearch(Key, 1, L) of
+ undefined ->
+ fail({not_found, Key, L});
+ {value, {Key, Value}} ->
+ Value
+ end.
+
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+d(F, A) ->
+ d(false, F, A).
+
+d(true, F, A) ->
+ io:format(F ++ "~n", A);
+d(_, _, _) ->
+ ok.
+
+
diff --git a/lib/xmerl/test/xmerl_sax_SUITE.erl b/lib/xmerl/test/xmerl_sax_SUITE.erl
new file mode 100644
index 0000000000..563bbaaa06
--- /dev/null
+++ b/lib/xmerl/test/xmerl_sax_SUITE.erl
@@ -0,0 +1,110 @@
+%%-*-erlang-*-
+%%----------------------------------------------------------------------
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%----------------------------------------------------------------------
+%% File : xmerl_sax_SUITE.erl
+%% Created : 2009-06-01
+%%----------------------------------------------------------------------
+-module(xmerl_sax_SUITE).
+-compile(export_all).
+
+%%----------------------------------------------------------------------
+%% Include files
+%%----------------------------------------------------------------------
+-include_lib("test_server/include/test_server.hrl").
+-include_lib("kernel/include/file.hrl").
+
+%%======================================================================
+%% External functions
+%%======================================================================
+
+%%----------------------------------------------------------------------
+%% Initializations
+%%----------------------------------------------------------------------
+
+init_per_suite(doc) ->
+ ["Starts the test suite"];
+init_per_suite(Config) ->
+ Config.
+
+end_per_suite(doc) ->
+ ["Stops the test suite"];
+end_per_suite(Config) ->
+ Config.
+
+
+
+%% initialization before each testcase
+init_per_testcase(_TestCase,Config) ->
+ Config.
+
+%% clean up after each testcase
+end_per_testcase(_Func,_Config) ->
+ ok.
+
+%%----------------------------------------------------------------------
+%% Tests
+%%----------------------------------------------------------------------
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% ID: ticket_8213
+%% Description: Checks that end of document is checked properly when continuation fun is missing.
+ticket_8213(suite) -> [];
+ticket_8213(_Config) ->
+ ?line {ok,ok,[]} = xmerl_sax_parser:stream("<elem/>", [{event_fun, fun (_E,_,_) -> ok end}]).
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% ID: ticket_8214
+%% Description: Checks that attributes with default namespace don't get [] in NS field.
+ticket_8214(suite) -> [];
+ticket_8214(_Config) ->
+ ?line {ok,ok,[]} =
+ xmerl_sax_parser:stream("<elem attr='123' x:attr='234' xmlns='http://lshift.net/d' "
+ "xmlns:x='http://lshift.net/x' />",
+ [{event_fun, fun ({startElement,"http://lshift.net/d","elem",
+ {[],"elem"},
+ [{[],[],"attr","123"},{"http://lshift.net/x","x","attr","234"}]},
+ _, _) ->ok;
+ ({startElement, _, "elem",_,_}, _,_) ->
+ throw({test, "Error in startElement tuple"});
+ (_E,_,_) -> ok
+ end}]).
+
+%%----------------------------------------------------------------------
+%% Bug test cases
+%%
+
+%%----------------------------------------------------------------------
+%% Test Suite
+%%
+all() ->
+ [{group, bugs}].
+
+groups() ->
+ [{bugs, [], [ticket_8213, ticket_8214]}].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
diff --git a/lib/xmerl/test/xmerl_sax_std_SUITE.erl b/lib/xmerl/test/xmerl_sax_std_SUITE.erl
new file mode 100644
index 0000000000..f26438d500
--- /dev/null
+++ b/lib/xmerl/test/xmerl_sax_std_SUITE.erl
@@ -0,0 +1,25635 @@
+%%-*-erlang-*-
+%%----------------------------------------------------------------------
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%----------------------------------------------------------------------
+%% File : xmerl_sax_std_SUITE.erl
+%% Created : 2009-06-01
+%%----------------------------------------------------------------------
+-module(xmerl_sax_std_SUITE).
+-compile(export_all).
+
+%%----------------------------------------------------------------------
+%% Include files
+%%----------------------------------------------------------------------
+-include_lib("test_server/include/test_server.hrl").
+-include_lib("kernel/include/file.hrl").
+
+%%======================================================================
+%% External functions
+%%======================================================================
+
+%%----------------------------------------------------------------------
+%% Initializations
+%%----------------------------------------------------------------------
+
+init_per_suite(doc) ->
+ ["Starts the test suite"];
+init_per_suite(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line ok=erl_tar:extract("ibm.tgz",[compressed]),
+ ?line ok=erl_tar:extract("japanese.tgz",[compressed]),
+ ?line ok=erl_tar:extract("oasis.tgz",[compressed]),
+ ?line ok=erl_tar:extract("sun.tgz",[compressed]),
+ ?line ok=erl_tar:extract("xmltest.tgz",[compressed]),
+ ?line ok = change_mode(["ibm","japanese","oasis",
+ "sun","xmltest"]),
+ Config.
+
+-ifndef(dont_rm_test_dirs).
+
+end_per_suite(doc) ->
+ ["Stops the test suite"];
+end_per_suite(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line ok=rm_files(["ibm","japanese","oasis","sun","xmltest"]),
+ Config.
+
+-else.
+
+end_per_suite(doc) ->
+ ["Stops the test suite"];
+end_per_suite(Config) ->
+ Config.
+
+-endif.
+
+%% initialization before each testcase
+init_per_testcase(_TestCase,Config) ->
+ io:format("Config:\n~p\n",[Config]),
+ ?line {ok, _} = file:read_file_info(filename:join([?config(priv_dir,Config)])),
+ ?line code:add_patha(?config(priv_dir,Config)),
+% Dog=test_server:timetrap({minutes,10}),
+% [{watchdog, Dog}|Config].
+ Config.
+
+%% clean up after each testcase
+end_per_testcase(_Func,_Config) ->
+% Dog=?config(watchdog, Config),
+% test_server:timetrap_cancel(Dog),
+ ok.
+
+%%----------------------------------------------------------------------
+%% Tests
+%%----------------------------------------------------------------------
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/001.xml
+%% ID: not-wf-sa-001
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'not-wf-sa-001'(suite) -> [];
+'not-wf-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/001.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/002.xml
+%% ID: not-wf-sa-002
+%% Type: not-wf
+%% Sections: 2.3 [4]
+'not-wf-sa-002'(suite) -> [];
+'not-wf-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/002.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/003.xml
+%% ID: not-wf-sa-003
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'not-wf-sa-003'(suite) -> [];
+'not-wf-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/003.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/004.xml
+%% ID: not-wf-sa-004
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'not-wf-sa-004'(suite) -> [];
+'not-wf-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/004.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/005.xml
+%% ID: not-wf-sa-005
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'not-wf-sa-005'(suite) -> [];
+'not-wf-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/005.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/006.xml
+%% ID: not-wf-sa-006
+%% Type: not-wf
+%% Sections: 2.5 [16]
+'not-wf-sa-006'(suite) -> [];
+'not-wf-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/006.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/007.xml
+%% ID: not-wf-sa-007
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-007'(suite) -> [];
+'not-wf-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/007.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/008.xml
+%% ID: not-wf-sa-008
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'not-wf-sa-008'(suite) -> [];
+'not-wf-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/008.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/009.xml
+%% ID: not-wf-sa-009
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'not-wf-sa-009'(suite) -> [];
+'not-wf-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/009.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/010.xml
+%% ID: not-wf-sa-010
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-010'(suite) -> [];
+'not-wf-sa-010'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/010.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/011.xml
+%% ID: not-wf-sa-011
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'not-wf-sa-011'(suite) -> [];
+'not-wf-sa-011'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/011.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/012.xml
+%% ID: not-wf-sa-012
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'not-wf-sa-012'(suite) -> [];
+'not-wf-sa-012'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/012.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/013.xml
+%% ID: not-wf-sa-013
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'not-wf-sa-013'(suite) -> [];
+'not-wf-sa-013'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/013.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/014.xml
+%% ID: not-wf-sa-014
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'not-wf-sa-014'(suite) -> [];
+'not-wf-sa-014'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/014.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/015.xml
+%% ID: not-wf-sa-015
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'not-wf-sa-015'(suite) -> [];
+'not-wf-sa-015'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/015.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/016.xml
+%% ID: not-wf-sa-016
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'not-wf-sa-016'(suite) -> [];
+'not-wf-sa-016'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/016.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/017.xml
+%% ID: not-wf-sa-017
+%% Type: not-wf
+%% Sections: 2.7 [18]
+'not-wf-sa-017'(suite) -> [];
+'not-wf-sa-017'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/017.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/018.xml
+%% ID: not-wf-sa-018
+%% Type: not-wf
+%% Sections: 2.7 [19]
+'not-wf-sa-018'(suite) -> [];
+'not-wf-sa-018'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/018.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/019.xml
+%% ID: not-wf-sa-019
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'not-wf-sa-019'(suite) -> [];
+'not-wf-sa-019'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/019.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/020.xml
+%% ID: not-wf-sa-020
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'not-wf-sa-020'(suite) -> [];
+'not-wf-sa-020'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/020.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/021.xml
+%% ID: not-wf-sa-021
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'not-wf-sa-021'(suite) -> [];
+'not-wf-sa-021'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/021.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/022.xml
+%% ID: not-wf-sa-022
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'not-wf-sa-022'(suite) -> [];
+'not-wf-sa-022'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/022.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/023.xml
+%% ID: not-wf-sa-023
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'not-wf-sa-023'(suite) -> [];
+'not-wf-sa-023'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/023.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/024.xml
+%% ID: not-wf-sa-024
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'not-wf-sa-024'(suite) -> [];
+'not-wf-sa-024'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/024.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/025.xml
+%% ID: not-wf-sa-025
+%% Type: not-wf
+%% Sections: 2.4 [14]
+'not-wf-sa-025'(suite) -> [];
+'not-wf-sa-025'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/025.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/026.xml
+%% ID: not-wf-sa-026
+%% Type: not-wf
+%% Sections: 2.4 [14]
+'not-wf-sa-026'(suite) -> [];
+'not-wf-sa-026'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/026.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/027.xml
+%% ID: not-wf-sa-027
+%% Type: not-wf
+%% Sections: 2.5 [15]
+'not-wf-sa-027'(suite) -> [];
+'not-wf-sa-027'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/027.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/028.xml
+%% ID: not-wf-sa-028
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'not-wf-sa-028'(suite) -> [];
+'not-wf-sa-028'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/028.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/029.xml
+%% ID: not-wf-sa-029
+%% Type: not-wf
+%% Sections: 2.4 [14]
+'not-wf-sa-029'(suite) -> [];
+'not-wf-sa-029'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/029.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/030.xml
+%% ID: not-wf-sa-030
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-030'(suite) -> [];
+'not-wf-sa-030'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/030.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/031.xml
+%% ID: not-wf-sa-031
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-031'(suite) -> [];
+'not-wf-sa-031'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/031.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/032.xml
+%% ID: not-wf-sa-032
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-032'(suite) -> [];
+'not-wf-sa-032'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/032.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/033.xml
+%% ID: not-wf-sa-033
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-033'(suite) -> [];
+'not-wf-sa-033'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/033.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/034.xml
+%% ID: not-wf-sa-034
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-034'(suite) -> [];
+'not-wf-sa-034'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/034.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/035.xml
+%% ID: not-wf-sa-035
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'not-wf-sa-035'(suite) -> [];
+'not-wf-sa-035'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/035.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/036.xml
+%% ID: not-wf-sa-036
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-036'(suite) -> [];
+'not-wf-sa-036'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/036.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"Illegal data\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/037.xml
+%% ID: not-wf-sa-037
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-037'(suite) -> [];
+'not-wf-sa-037'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/037.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"&#32;\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/038.xml
+%% ID: not-wf-sa-038
+%% Type: not-wf
+%% Sections: 3.1
+'not-wf-sa-038'(suite) -> [];
+'not-wf-sa-038'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/038.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/039.xml
+%% ID: not-wf-sa-039
+%% Type: not-wf
+%% Sections: 3
+'not-wf-sa-039'(suite) -> [];
+'not-wf-sa-039'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/039.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/040.xml
+%% ID: not-wf-sa-040
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-040'(suite) -> [];
+'not-wf-sa-040'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/040.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"<doc></doc>\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/041.xml
+%% ID: not-wf-sa-041
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-041'(suite) -> [];
+'not-wf-sa-041'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/041.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"<doc></doc>\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/042.xml
+%% ID: not-wf-sa-042
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'not-wf-sa-042'(suite) -> [];
+'not-wf-sa-042'(Config) -> {skip, "Fix 1"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/042.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/043.xml
+%% ID: not-wf-sa-043
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-043'(suite) -> [];
+'not-wf-sa-043'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/043.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"Illegal data\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/044.xml
+%% ID: not-wf-sa-044
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-044'(suite) -> [];
+'not-wf-sa-044'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/044.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"<doc/>\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/045.xml
+%% ID: not-wf-sa-045
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'not-wf-sa-045'(suite) -> [];
+'not-wf-sa-045'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/045.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/046.xml
+%% ID: not-wf-sa-046
+%% Type: not-wf
+%% Sections: 3.1 [40]
+'not-wf-sa-046'(suite) -> [];
+'not-wf-sa-046'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/046.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/047.xml
+%% ID: not-wf-sa-047
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'not-wf-sa-047'(suite) -> [];
+'not-wf-sa-047'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/047.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/048.xml
+%% ID: not-wf-sa-048
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-048'(suite) -> [];
+'not-wf-sa-048'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/048.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"<![CDATA[]]>\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/049.xml
+%% ID: not-wf-sa-049
+%% Type: not-wf
+%% Sections: 3.1 [40]
+'not-wf-sa-049'(suite) -> [];
+'not-wf-sa-049'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/049.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/050.xml
+%% ID: not-wf-sa-050
+%% Type: not-wf
+%% Sections: 2.1 [1]
+'not-wf-sa-050'(suite) -> [];
+'not-wf-sa-050'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/050.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/051.xml
+%% ID: not-wf-sa-051
+%% Type: not-wf
+%% Sections: 2.7 [18]
+'not-wf-sa-051'(suite) -> [];
+'not-wf-sa-051'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/051.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/052.xml
+%% ID: not-wf-sa-052
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'not-wf-sa-052'(suite) -> [];
+'not-wf-sa-052'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/052.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/053.xml
+%% ID: not-wf-sa-053
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'not-wf-sa-053'(suite) -> [];
+'not-wf-sa-053'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/053.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/054.xml
+%% ID: not-wf-sa-054
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'not-wf-sa-054'(suite) -> [];
+'not-wf-sa-054'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/054.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/055.xml
+%% ID: not-wf-sa-055
+%% Type: not-wf
+%% Sections: 2.8 [28]
+'not-wf-sa-055'(suite) -> [];
+'not-wf-sa-055'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/055.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/056.xml
+%% ID: not-wf-sa-056
+%% Type: not-wf
+%% Sections: 2.8 [28]
+'not-wf-sa-056'(suite) -> [];
+'not-wf-sa-056'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/056.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/057.xml
+%% ID: not-wf-sa-057
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'not-wf-sa-057'(suite) -> [];
+'not-wf-sa-057'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/057.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/058.xml
+%% ID: not-wf-sa-058
+%% Type: not-wf
+%% Sections: 3.3.1 [54]
+'not-wf-sa-058'(suite) -> [];
+'not-wf-sa-058'(_Config) -> {skip, "Attlist Notation parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/058.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/059.xml
+%% ID: not-wf-sa-059
+%% Type: not-wf
+%% Sections: 3.3.1 [59]
+'not-wf-sa-059'(suite) -> [];
+'not-wf-sa-059'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/059.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/060.xml
+%% ID: not-wf-sa-060
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'not-wf-sa-060'(suite) -> [];
+'not-wf-sa-060'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/060.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/061.xml
+%% ID: not-wf-sa-061
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'not-wf-sa-061'(suite) -> [];
+'not-wf-sa-061'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/061.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/062.xml
+%% ID: not-wf-sa-062
+%% Type: not-wf
+%% Sections: 4.2 [71]
+'not-wf-sa-062'(suite) -> [];
+'not-wf-sa-062'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/062.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/063.xml
+%% ID: not-wf-sa-063
+%% Type: not-wf
+%% Sections: 2.8 [29]
+'not-wf-sa-063'(suite) -> [];
+'not-wf-sa-063'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/063.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/064.xml
+%% ID: not-wf-sa-064
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'not-wf-sa-064'(suite) -> [];
+'not-wf-sa-064'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/064.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/065.xml
+%% ID: not-wf-sa-065
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'not-wf-sa-065'(suite) -> [];
+'not-wf-sa-065'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/065.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/066.xml
+%% ID: not-wf-sa-066
+%% Type: not-wf
+%% Sections: 3.3 [52]
+'not-wf-sa-066'(suite) -> [];
+'not-wf-sa-066'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/066.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/067.xml
+%% ID: not-wf-sa-067
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'not-wf-sa-067'(suite) -> [];
+'not-wf-sa-067'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/067.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/068.xml
+%% ID: not-wf-sa-068
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'not-wf-sa-068'(suite) -> [];
+'not-wf-sa-068'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/068.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/069.xml
+%% ID: not-wf-sa-069
+%% Type: not-wf
+%% Sections: 4.2.2 [76]
+'not-wf-sa-069'(suite) -> [];
+'not-wf-sa-069'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/069.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/070.xml
+%% ID: not-wf-sa-070
+%% Type: not-wf
+%% Sections: 2.5 [16]
+'not-wf-sa-070'(suite) -> [];
+'not-wf-sa-070'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/070.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/071.xml
+%% ID: not-wf-sa-071
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-071'(suite) -> [];
+'not-wf-sa-071'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/071.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/072.xml
+%% ID: not-wf-sa-072
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-072'(suite) -> [];
+'not-wf-sa-072'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/072.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/073.xml
+%% ID: not-wf-sa-073
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-073'(suite) -> [];
+'not-wf-sa-073'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/073.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/074.xml
+%% ID: not-wf-sa-074
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-074'(suite) -> [];
+'not-wf-sa-074'(_Config) -> {skip, "Entity not correct tag pair NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/074.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/075.xml
+%% ID: not-wf-sa-075
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-075'(suite) -> [];
+'not-wf-sa-075'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/075.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/076.xml
+%% ID: not-wf-sa-076
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-076'(suite) -> [];
+'not-wf-sa-076'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/076.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/077.xml
+%% ID: not-wf-sa-077
+%% Type: not-wf
+%% Sections: 41. [68]
+'not-wf-sa-077'(suite) -> [];
+'not-wf-sa-077'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/077.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/078.xml
+%% ID: not-wf-sa-078
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-078'(suite) -> [];
+'not-wf-sa-078'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/078.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/079.xml
+%% ID: not-wf-sa-079
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-079'(suite) -> [];
+'not-wf-sa-079'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/079.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/080.xml
+%% ID: not-wf-sa-080
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-080'(suite) -> [];
+'not-wf-sa-080'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/080.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/081.xml
+%% ID: not-wf-sa-081
+%% Type: not-wf
+%% Sections: 3.1
+'not-wf-sa-081'(suite) -> [];
+'not-wf-sa-081'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/081.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/082.xml
+%% ID: not-wf-sa-082
+%% Type: not-wf
+%% Sections: 3.1
+'not-wf-sa-082'(suite) -> [];
+'not-wf-sa-082'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/082.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/083.xml
+%% ID: not-wf-sa-083
+%% Type: not-wf
+%% Sections: 4.2.2 [76]
+'not-wf-sa-083'(suite) -> [];
+'not-wf-sa-083'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/083.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/084.xml
+%% ID: not-wf-sa-084
+%% Type: not-wf
+%% Sections: 4.1
+'not-wf-sa-084'(suite) -> [];
+'not-wf-sa-084'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/084.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/085.xml
+%% ID: not-wf-sa-085
+%% Type: not-wf
+%% Sections: 2.3 [13]
+'not-wf-sa-085'(suite) -> [];
+'not-wf-sa-085'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/085.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/086.xml
+%% ID: not-wf-sa-086
+%% Type: not-wf
+%% Sections: 2.3 [13]
+'not-wf-sa-086'(suite) -> [];
+'not-wf-sa-086'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/086.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/087.xml
+%% ID: not-wf-sa-087
+%% Type: not-wf
+%% Sections: 2.3 [13]
+'not-wf-sa-087'(suite) -> [];
+'not-wf-sa-087'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/087.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/088.xml
+%% ID: not-wf-sa-088
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'not-wf-sa-088'(suite) -> [];
+'not-wf-sa-088'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/088.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/089.xml
+%% ID: not-wf-sa-089
+%% Type: not-wf
+%% Sections: 4.2 [74]
+'not-wf-sa-089'(suite) -> [];
+'not-wf-sa-089'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/089.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/090.xml
+%% ID: not-wf-sa-090
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'not-wf-sa-090'(suite) -> [];
+'not-wf-sa-090'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/090.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/091.xml
+%% ID: not-wf-sa-091
+%% Type: not-wf
+%% Sections: 4.2 [74]
+'not-wf-sa-091'(suite) -> [];
+'not-wf-sa-091'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/091.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/092.xml
+%% ID: not-wf-sa-092
+%% Type: not-wf
+%% Sections: 4.5
+'not-wf-sa-092'(suite) -> [];
+'not-wf-sa-092'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/092.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/093.xml
+%% ID: not-wf-sa-093
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'not-wf-sa-093'(suite) -> [];
+'not-wf-sa-093'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/093.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/094.xml
+%% ID: not-wf-sa-094
+%% Type: not-wf
+%% Sections: 2.8 [24]
+'not-wf-sa-094'(suite) -> [];
+'not-wf-sa-094'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/094.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/095.xml
+%% ID: not-wf-sa-095
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'not-wf-sa-095'(suite) -> [];
+'not-wf-sa-095'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/095.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/096.xml
+%% ID: not-wf-sa-096
+%% Type: not-wf
+%% Sections: 2.9 [32]
+'not-wf-sa-096'(suite) -> [];
+'not-wf-sa-096'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/096.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/097.xml
+%% ID: not-wf-sa-097
+%% Type: not-wf
+%% Sections: 2.8 [24]
+'not-wf-sa-097'(suite) -> [];
+'not-wf-sa-097'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/097.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/098.xml
+%% ID: not-wf-sa-098
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'not-wf-sa-098'(suite) -> [];
+'not-wf-sa-098'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/098.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/099.xml
+%% ID: not-wf-sa-099
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'not-wf-sa-099'(suite) -> [];
+'not-wf-sa-099'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/099.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/100.xml
+%% ID: not-wf-sa-100
+%% Type: not-wf
+%% Sections: 2.9 [32]
+'not-wf-sa-100'(suite) -> [];
+'not-wf-sa-100'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/100.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/101.xml
+%% ID: not-wf-sa-101
+%% Type: not-wf
+%% Sections: 4.3.3 [81]
+'not-wf-sa-101'(suite) -> [];
+'not-wf-sa-101'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/101.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/102.xml
+%% ID: not-wf-sa-102
+%% Type: not-wf
+%% Sections: 2.8 [26]
+'not-wf-sa-102'(suite) -> [];
+'not-wf-sa-102'(Config) -> {skip, "Fix 2"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/102.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/103.xml
+%% ID: not-wf-sa-103
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-103'(suite) -> [];
+'not-wf-sa-103'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/103.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/104.xml
+%% ID: not-wf-sa-104
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-104'(suite) -> [];
+'not-wf-sa-104'(_Config) -> {skip, "Entity not correct tag pair NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/104.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/105.xml
+%% ID: not-wf-sa-105
+%% Type: not-wf
+%% Sections: 2.7
+'not-wf-sa-105'(suite) -> [];
+'not-wf-sa-105'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/105.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/106.xml
+%% ID: not-wf-sa-106
+%% Type: not-wf
+%% Sections: 4.2
+'not-wf-sa-106'(suite) -> [];
+'not-wf-sa-106'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/106.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/107.xml
+%% ID: not-wf-sa-107
+%% Type: not-wf
+%% Sections: 2.8 [28]
+'not-wf-sa-107'(suite) -> [];
+'not-wf-sa-107'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/107.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/108.xml
+%% ID: not-wf-sa-108
+%% Type: not-wf
+%% Sections: 2.7 [19]
+'not-wf-sa-108'(suite) -> [];
+'not-wf-sa-108'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/108.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/109.xml
+%% ID: not-wf-sa-109
+%% Type: not-wf
+%% Sections: 4.2 [70]
+'not-wf-sa-109'(suite) -> [];
+'not-wf-sa-109'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/109.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/110.xml
+%% ID: not-wf-sa-110
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-110'(suite) -> [];
+'not-wf-sa-110'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/110.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"&e;\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/111.xml
+%% ID: not-wf-sa-111
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'not-wf-sa-111'(suite) -> [];
+'not-wf-sa-111'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/111.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/112.xml
+%% ID: not-wf-sa-112
+%% Type: not-wf
+%% Sections: 2.7 [19]
+'not-wf-sa-112'(suite) -> [];
+'not-wf-sa-112'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/112.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/113.xml
+%% ID: not-wf-sa-113
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'not-wf-sa-113'(suite) -> [];
+'not-wf-sa-113'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/113.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/114.xml
+%% ID: not-wf-sa-114
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'not-wf-sa-114'(suite) -> [];
+'not-wf-sa-114'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/114.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/115.xml
+%% ID: not-wf-sa-115
+%% Type: not-wf
+%% Sections: 4.5
+'not-wf-sa-115'(suite) -> [];
+'not-wf-sa-115'(_Config) -> {skip, "& expansion not correct"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/115.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/116.xml
+%% ID: not-wf-sa-116
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-116'(suite) -> [];
+'not-wf-sa-116'(_Config) -> {skip, "& expansion not correct"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/116.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/117.xml
+%% ID: not-wf-sa-117
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-117'(suite) -> [];
+'not-wf-sa-117'(_Config) -> {skip, "& expansion not correct"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/117.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/118.xml
+%% ID: not-wf-sa-118
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-118'(suite) -> [];
+'not-wf-sa-118'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/118.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/119.xml
+%% ID: not-wf-sa-119
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-119'(suite) -> [];
+'not-wf-sa-119'(_Config) -> {skip, "& expansion not correct"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/119.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/120.xml
+%% ID: not-wf-sa-120
+%% Type: not-wf
+%% Sections: 4.5
+'not-wf-sa-120'(suite) -> [];
+'not-wf-sa-120'(_Config) -> {skip, "& expansion not correct"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/120.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/121.xml
+%% ID: not-wf-sa-121
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'not-wf-sa-121'(suite) -> [];
+'not-wf-sa-121'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/121.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/122.xml
+%% ID: not-wf-sa-122
+%% Type: not-wf
+%% Sections: 3.2.1 [47]
+'not-wf-sa-122'(suite) -> [];
+'not-wf-sa-122'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/122.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/123.xml
+%% ID: not-wf-sa-123
+%% Type: not-wf
+%% Sections: 3.2.1 [48]
+'not-wf-sa-123'(suite) -> [];
+'not-wf-sa-123'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/123.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/124.xml
+%% ID: not-wf-sa-124
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'not-wf-sa-124'(suite) -> [];
+'not-wf-sa-124'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/124.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/125.xml
+%% ID: not-wf-sa-125
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'not-wf-sa-125'(suite) -> [];
+'not-wf-sa-125'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/125.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/126.xml
+%% ID: not-wf-sa-126
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'not-wf-sa-126'(suite) -> [];
+'not-wf-sa-126'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/126.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/127.xml
+%% ID: not-wf-sa-127
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'not-wf-sa-127'(suite) -> [];
+'not-wf-sa-127'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/127.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/128.xml
+%% ID: not-wf-sa-128
+%% Type: not-wf
+%% Sections: 2.7 [18]
+'not-wf-sa-128'(suite) -> [];
+'not-wf-sa-128'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/128.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/129.xml
+%% ID: not-wf-sa-129
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'not-wf-sa-129'(suite) -> [];
+'not-wf-sa-129'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/129.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/130.xml
+%% ID: not-wf-sa-130
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'not-wf-sa-130'(suite) -> [];
+'not-wf-sa-130'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/130.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/131.xml
+%% ID: not-wf-sa-131
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'not-wf-sa-131'(suite) -> [];
+'not-wf-sa-131'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/131.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/132.xml
+%% ID: not-wf-sa-132
+%% Type: not-wf
+%% Sections: 3.2.1 [50]
+'not-wf-sa-132'(suite) -> [];
+'not-wf-sa-132'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/132.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/133.xml
+%% ID: not-wf-sa-133
+%% Type: not-wf
+%% Sections: 3.2.1
+'not-wf-sa-133'(suite) -> [];
+'not-wf-sa-133'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/133.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/134.xml
+%% ID: not-wf-sa-134
+%% Type: not-wf
+%% Sections: 3.2.1
+'not-wf-sa-134'(suite) -> [];
+'not-wf-sa-134'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/134.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/135.xml
+%% ID: not-wf-sa-135
+%% Type: not-wf
+%% Sections: 3.2.1 [47]
+'not-wf-sa-135'(suite) -> [];
+'not-wf-sa-135'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/135.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/136.xml
+%% ID: not-wf-sa-136
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'not-wf-sa-136'(suite) -> [];
+'not-wf-sa-136'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/136.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/137.xml
+%% ID: not-wf-sa-137
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'not-wf-sa-137'(suite) -> [];
+'not-wf-sa-137'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/137.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/138.xml
+%% ID: not-wf-sa-138
+%% Type: not-wf
+%% Sections: 3.2.1 [48]
+'not-wf-sa-138'(suite) -> [];
+'not-wf-sa-138'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/138.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/139.xml
+%% ID: not-wf-sa-139
+%% Type: not-wf
+%% Sections: 3.2.1 [46]
+'not-wf-sa-139'(suite) -> [];
+'not-wf-sa-139'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/139.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/140.xml
+%% ID: not-wf-sa-140
+%% Type: not-wf
+%% Sections: 2.3 [4]
+'not-wf-sa-140'(suite) -> [];
+'not-wf-sa-140'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/140.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/141.xml
+%% ID: not-wf-sa-141
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'not-wf-sa-141'(suite) -> [];
+'not-wf-sa-141'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/141.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/142.xml
+%% ID: not-wf-sa-142
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-142'(suite) -> [];
+'not-wf-sa-142'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/142.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/143.xml
+%% ID: not-wf-sa-143
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-143'(suite) -> [];
+'not-wf-sa-143'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/143.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/144.xml
+%% ID: not-wf-sa-144
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-144'(suite) -> [];
+'not-wf-sa-144'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/144.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/145.xml
+%% ID: not-wf-sa-145
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-145'(suite) -> [];
+'not-wf-sa-145'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/145.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/146.xml
+%% ID: not-wf-sa-146
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-146'(suite) -> [];
+'not-wf-sa-146'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/146.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/147.xml
+%% ID: not-wf-sa-147
+%% Type: not-wf
+%% Sections: 2.8 [22]
+'not-wf-sa-147'(suite) -> [];
+'not-wf-sa-147'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/147.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/148.xml
+%% ID: not-wf-sa-148
+%% Type: not-wf
+%% Sections: 2.8 [22]
+'not-wf-sa-148'(suite) -> [];
+'not-wf-sa-148'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/148.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/149.xml
+%% ID: not-wf-sa-149
+%% Type: not-wf
+%% Sections: 2.8 [28]
+'not-wf-sa-149'(suite) -> [];
+'not-wf-sa-149'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/149.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/150.xml
+%% ID: not-wf-sa-150
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'not-wf-sa-150'(suite) -> [];
+'not-wf-sa-150'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/150.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/151.xml
+%% ID: not-wf-sa-151
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'not-wf-sa-151'(suite) -> [];
+'not-wf-sa-151'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/151.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"xml version=\"1.0\"?>\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/152.xml
+%% ID: not-wf-sa-152
+%% Type: not-wf
+%% Sections: 2.8 [22]
+'not-wf-sa-152'(suite) -> [];
+'not-wf-sa-152'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/152.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/153.xml
+%% ID: not-wf-sa-153
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-153'(suite) -> [];
+'not-wf-sa-153'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/153.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/154.xml
+%% ID: not-wf-sa-154
+%% Type: not-wf
+%% Sections: 2.8 2.6 [23, 17]
+'not-wf-sa-154'(suite) -> [];
+'not-wf-sa-154'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/154.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/155.xml
+%% ID: not-wf-sa-155
+%% Type: not-wf
+%% Sections: 2.8 2.6 [23, 17]
+'not-wf-sa-155'(suite) -> [];
+'not-wf-sa-155'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/155.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/156.xml
+%% ID: not-wf-sa-156
+%% Type: not-wf
+%% Sections: 2.8 2.6 [23, 17]
+'not-wf-sa-156'(suite) -> [];
+'not-wf-sa-156'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/156.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/157.xml
+%% ID: not-wf-sa-157
+%% Type: not-wf
+%% Sections: 2.6 [17]
+'not-wf-sa-157'(suite) -> [];
+'not-wf-sa-157'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/157.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/158.xml
+%% ID: not-wf-sa-158
+%% Type: not-wf
+%% Sections: 3.3 [52]
+'not-wf-sa-158'(suite) -> [];
+'not-wf-sa-158'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/158.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/159.xml
+%% ID: not-wf-sa-159
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'not-wf-sa-159'(suite) -> [];
+'not-wf-sa-159'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/159.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/160.xml
+%% ID: not-wf-sa-160
+%% Type: not-wf
+%% Sections: 2.8
+'not-wf-sa-160'(suite) -> [];
+'not-wf-sa-160'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/160.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/161.xml
+%% ID: not-wf-sa-161
+%% Type: not-wf
+%% Sections: 2.8
+'not-wf-sa-161'(suite) -> [];
+'not-wf-sa-161'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/161.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/162.xml
+%% ID: not-wf-sa-162
+%% Type: not-wf
+%% Sections: 2.8
+'not-wf-sa-162'(suite) -> [];
+'not-wf-sa-162'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/162.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/163.xml
+%% ID: not-wf-sa-163
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'not-wf-sa-163'(suite) -> [];
+'not-wf-sa-163'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/163.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/164.xml
+%% ID: not-wf-sa-164
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'not-wf-sa-164'(suite) -> [];
+'not-wf-sa-164'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/164.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/165.xml
+%% ID: not-wf-sa-165
+%% Type: not-wf
+%% Sections: 4.2 [72]
+'not-wf-sa-165'(suite) -> [];
+'not-wf-sa-165'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/165.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/166.xml
+%% ID: not-wf-sa-166
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-166'(suite) -> [];
+'not-wf-sa-166'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/166.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/167.xml
+%% ID: not-wf-sa-167
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-167'(suite) -> [];
+'not-wf-sa-167'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/167.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/168.xml
+%% ID: not-wf-sa-168
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-168'(suite) -> [];
+'not-wf-sa-168'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/168.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/169.xml
+%% ID: not-wf-sa-169
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-169'(suite) -> [];
+'not-wf-sa-169'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/169.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/170.xml
+%% ID: not-wf-sa-170
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-170'(suite) -> [];
+'not-wf-sa-170'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/170.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/171.xml
+%% ID: not-wf-sa-171
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-171'(suite) -> [];
+'not-wf-sa-171'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/171.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/172.xml
+%% ID: not-wf-sa-172
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-172'(suite) -> [];
+'not-wf-sa-172'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/172.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/173.xml
+%% ID: not-wf-sa-173
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-173'(suite) -> [];
+'not-wf-sa-173'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/173.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/174.xml
+%% ID: not-wf-sa-174
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-174'(suite) -> [];
+'not-wf-sa-174'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/174.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/175.xml
+%% ID: not-wf-sa-175
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-175'(suite) -> [];
+'not-wf-sa-175'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/175.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/176.xml
+%% ID: not-wf-sa-176
+%% Type: not-wf
+%% Sections: 3 [39]
+'not-wf-sa-176'(suite) -> [];
+'not-wf-sa-176'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/176.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/177.xml
+%% ID: not-wf-sa-177
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'not-wf-sa-177'(suite) -> [];
+'not-wf-sa-177'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/177.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/178.xml
+%% ID: not-wf-sa-178
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'not-wf-sa-178'(suite) -> [];
+'not-wf-sa-178'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/178.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/179.xml
+%% ID: not-wf-sa-179
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'not-wf-sa-179'(suite) -> [];
+'not-wf-sa-179'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/179.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/180.xml
+%% ID: not-wf-sa-180
+%% Type: not-wf
+%% Sections: 4.1
+'not-wf-sa-180'(suite) -> [];
+'not-wf-sa-180'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/180.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/181.xml
+%% ID: not-wf-sa-181
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-181'(suite) -> [];
+'not-wf-sa-181'(_Config) -> {skip, "Entity not tag pair NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/181.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/182.xml
+%% ID: not-wf-sa-182
+%% Type: not-wf
+%% Sections: 4.3.2
+'not-wf-sa-182'(suite) -> [];
+'not-wf-sa-182'(_Config) -> {skip, "Entity not tag pair NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/182.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/183.xml
+%% ID: not-wf-sa-183
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'not-wf-sa-183'(suite) -> [];
+'not-wf-sa-183'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/183.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/184.xml
+%% ID: not-wf-sa-184
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'not-wf-sa-184'(suite) -> [];
+'not-wf-sa-184'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/184.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/185.xml
+%% ID: not-wf-sa-185
+%% Type: not-wf
+%% Sections: 4.1
+'not-wf-sa-185'(suite) -> [];
+'not-wf-sa-185'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/185.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sa/186.xml
+%% ID: not-wf-sa-186
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'not-wf-sa-186'(suite) -> [];
+'not-wf-sa-186'(Config) -> {skip, "Fix 2"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/sa/186.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/001.xml
+%% ID: not-wf-not-sa-001
+%% Type: not-wf
+%% Sections: 3.4 [62]
+'not-wf-not-sa-001'(suite) -> [];
+'not-wf-not-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/001.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/002.xml
+%% ID: not-wf-not-sa-002
+%% Type: not-wf
+%% Sections: 2.6 [17]
+'not-wf-not-sa-002'(suite) -> [];
+'not-wf-not-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/002.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/003.xml
+%% ID: not-wf-not-sa-003
+%% Type: not-wf
+%% Sections: 3.4 [62]
+'not-wf-not-sa-003'(suite) -> [];
+'not-wf-not-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/003.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/004.xml
+%% ID: not-wf-not-sa-004
+%% Type: not-wf
+%% Sections: 3.4 [62]
+'not-wf-not-sa-004'(suite) -> [];
+'not-wf-not-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/004.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/005.xml
+%% ID: not-wf-not-sa-005
+%% Type: error
+%% Sections: 4.1
+'not-wf-not-sa-005'(suite) -> [];
+'not-wf-not-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/005.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/006.xml
+%% ID: not-wf-not-sa-006
+%% Type: not-wf
+%% Sections: 3.4 [62]
+'not-wf-not-sa-006'(suite) -> [];
+'not-wf-not-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/006.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/007.xml
+%% ID: not-wf-not-sa-007
+%% Type: not-wf
+%% Sections: 4.3.2 [79]
+'not-wf-not-sa-007'(suite) -> [];
+'not-wf-not-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/007.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/008.xml
+%% ID: not-wf-not-sa-008
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'not-wf-not-sa-008'(suite) -> [];
+'not-wf-not-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/008.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa/009.xml
+%% ID: not-wf-not-sa-009
+%% Type: not-wf
+%% Sections: 2.8
+'not-wf-not-sa-009'(suite) -> [];
+'not-wf-not-sa-009'(_Config) -> {skip, "not a complete content in PE NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/not-sa/009.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/ext-sa/001.xml
+%% ID: not-wf-ext-sa-001
+%% Type: not-wf
+%% Sections: 4.1
+'not-wf-ext-sa-001'(suite) -> [];
+'not-wf-ext-sa-001'(Config) -> {skip, "Fix 1"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/ext-sa/001.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/ext-sa/002.xml
+%% ID: not-wf-ext-sa-002
+%% Type: not-wf
+%% Sections: 4.3.1 4.3.2 [77, 78]
+'not-wf-ext-sa-002'(suite) -> [];
+'not-wf-ext-sa-002'(Config) -> {skip, "Fix 1"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/ext-sa/002.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/ext-sa/003.xml
+%% ID: not-wf-ext-sa-003
+%% Type: not-wf
+%% Sections: 2.6 [17]
+'not-wf-ext-sa-003'(suite) -> [];
+'not-wf-ext-sa-003'(Config) -> {skip, "Fix 1"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","not-wf/ext-sa/003.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/002.xml
+%% ID: invalid--002
+%% Type: invalid
+%% Sections: 3.2.1
+'invalid--002'(suite) -> [];
+'invalid--002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","invalid/002.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/005.xml
+%% ID: invalid--005
+%% Type: invalid
+%% Sections: 2.8
+'invalid--005'(suite) -> [];
+'invalid--005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","invalid/005.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/006.xml
+%% ID: invalid--006
+%% Type: invalid
+%% Sections: 2.8
+'invalid--006'(suite) -> [];
+'invalid--006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","invalid/006.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa/022.xml
+%% ID: invalid-not-sa-022
+%% Type: invalid
+%% Sections: 3.4 [62]
+'invalid-not-sa-022'(suite) -> [];
+'invalid-not-sa-022'(_Config) -> {skip, "DTD element content parsing NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","invalid/not-sa/022.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/001.xml
+%% ID: valid-sa-001
+%% Type: valid
+%% Sections: 3.2.2 [51]
+'valid-sa-001'(suite) -> [];
+'valid-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/001.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/002.xml
+%% ID: valid-sa-002
+%% Type: valid
+%% Sections: 3.1 [40]
+'valid-sa-002'(suite) -> [];
+'valid-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/002.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/003.xml
+%% ID: valid-sa-003
+%% Type: valid
+%% Sections: 3.1 [42]
+'valid-sa-003'(suite) -> [];
+'valid-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/003.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/004.xml
+%% ID: valid-sa-004
+%% Type: valid
+%% Sections: 3.1 [41]
+'valid-sa-004'(suite) -> [];
+'valid-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/004.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/005.xml
+%% ID: valid-sa-005
+%% Type: valid
+%% Sections: 3.1 [40]
+'valid-sa-005'(suite) -> [];
+'valid-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/005.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/006.xml
+%% ID: valid-sa-006
+%% Type: valid
+%% Sections: 3.1 [41]
+'valid-sa-006'(suite) -> [];
+'valid-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/006.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/007.xml
+%% ID: valid-sa-007
+%% Type: valid
+%% Sections: 3.1 4.6 [43]
+'valid-sa-007'(suite) -> [];
+'valid-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/007.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/008.xml
+%% ID: valid-sa-008
+%% Type: valid
+%% Sections: 2.4 3.1 [43]
+'valid-sa-008'(suite) -> [];
+'valid-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/008.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/009.xml
+%% ID: valid-sa-009
+%% Type: valid
+%% Sections: 2.3 3.1 [43]
+'valid-sa-009'(suite) -> [];
+'valid-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/009.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/010.xml
+%% ID: valid-sa-010
+%% Type: valid
+%% Sections: 3.1 [40]
+'valid-sa-010'(suite) -> [];
+'valid-sa-010'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/010.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/011.xml
+%% ID: valid-sa-011
+%% Type: valid
+%% Sections: 3.1 [40]
+'valid-sa-011'(suite) -> [];
+'valid-sa-011'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/011.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/012.xml
+%% ID: valid-sa-012
+%% Type: valid
+%% Sections: 2.3 [4]
+'valid-sa-012'(suite) -> [];
+'valid-sa-012'(Config) -> {skip, "Fix 1"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/012.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/013.xml
+%% ID: valid-sa-013
+%% Type: valid
+%% Sections: 2.3 3.1 [13] [40]
+'valid-sa-013'(suite) -> [];
+'valid-sa-013'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/013.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/014.xml
+%% ID: valid-sa-014
+%% Type: valid
+%% Sections: 2.3 3.1 [13] [40]
+'valid-sa-014'(suite) -> [];
+'valid-sa-014'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/014.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/015.xml
+%% ID: valid-sa-015
+%% Type: valid
+%% Sections: 2.3 3.1 [13] [40]
+'valid-sa-015'(suite) -> [];
+'valid-sa-015'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/015.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/016.xml
+%% ID: valid-sa-016
+%% Type: valid
+%% Sections: 2.6 3.1 [16] [43]
+'valid-sa-016'(suite) -> [];
+'valid-sa-016'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/016.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/017.xml
+%% ID: valid-sa-017
+%% Type: valid
+%% Sections: 2.6 3.1 [16] [43]
+'valid-sa-017'(suite) -> [];
+'valid-sa-017'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/017.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/018.xml
+%% ID: valid-sa-018
+%% Type: valid
+%% Sections: 2.7 3.1 [18] [43]
+'valid-sa-018'(suite) -> [];
+'valid-sa-018'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/018.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/019.xml
+%% ID: valid-sa-019
+%% Type: valid
+%% Sections: 2.7 3.1 [18] [43]
+'valid-sa-019'(suite) -> [];
+'valid-sa-019'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/019.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/020.xml
+%% ID: valid-sa-020
+%% Type: valid
+%% Sections: 2.7 3.1 [18] [43]
+'valid-sa-020'(suite) -> [];
+'valid-sa-020'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/020.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/021.xml
+%% ID: valid-sa-021
+%% Type: valid
+%% Sections: 2.5 3.1 [15] [43]
+'valid-sa-021'(suite) -> [];
+'valid-sa-021'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/021.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/022.xml
+%% ID: valid-sa-022
+%% Type: valid
+%% Sections: 2.5 3.1 [15] [43]
+'valid-sa-022'(suite) -> [];
+'valid-sa-022'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/022.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/023.xml
+%% ID: valid-sa-023
+%% Type: valid
+%% Sections: 3.1 [43]
+'valid-sa-023'(suite) -> [];
+'valid-sa-023'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/023.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/024.xml
+%% ID: valid-sa-024
+%% Type: valid
+%% Sections: 3.1 4.1 [43] [66]
+'valid-sa-024'(suite) -> [];
+'valid-sa-024'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/024.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/025.xml
+%% ID: valid-sa-025
+%% Type: valid
+%% Sections: 3.2 [46]
+'valid-sa-025'(suite) -> [];
+'valid-sa-025'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/025.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/026.xml
+%% ID: valid-sa-026
+%% Type: valid
+%% Sections: 3.2 [46]
+'valid-sa-026'(suite) -> [];
+'valid-sa-026'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/026.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/027.xml
+%% ID: valid-sa-027
+%% Type: valid
+%% Sections: 3.2 [46]
+'valid-sa-027'(suite) -> [];
+'valid-sa-027'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/027.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/028.xml
+%% ID: valid-sa-028
+%% Type: valid
+%% Sections: 2.8 [24]
+'valid-sa-028'(suite) -> [];
+'valid-sa-028'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/028.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/029.xml
+%% ID: valid-sa-029
+%% Type: valid
+%% Sections: 2.8 [24]
+'valid-sa-029'(suite) -> [];
+'valid-sa-029'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/029.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/030.xml
+%% ID: valid-sa-030
+%% Type: valid
+%% Sections: 2.8 [25]
+'valid-sa-030'(suite) -> [];
+'valid-sa-030'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/030.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/031.xml
+%% ID: valid-sa-031
+%% Type: valid
+%% Sections: 4.3.3 [80]
+'valid-sa-031'(suite) -> [];
+'valid-sa-031'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/031.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/032.xml
+%% ID: valid-sa-032
+%% Type: valid
+%% Sections: 2.9 [32]
+'valid-sa-032'(suite) -> [];
+'valid-sa-032'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/032.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/033.xml
+%% ID: valid-sa-033
+%% Type: valid
+%% Sections: 2.8 [23]
+'valid-sa-033'(suite) -> [];
+'valid-sa-033'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/033.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/034.xml
+%% ID: valid-sa-034
+%% Type: valid
+%% Sections: 3.1 [44]
+'valid-sa-034'(suite) -> [];
+'valid-sa-034'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/034.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/035.xml
+%% ID: valid-sa-035
+%% Type: valid
+%% Sections: 3.1 [44]
+'valid-sa-035'(suite) -> [];
+'valid-sa-035'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/035.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/036.xml
+%% ID: valid-sa-036
+%% Type: valid
+%% Sections: 2.6 [16]
+'valid-sa-036'(suite) -> [];
+'valid-sa-036'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/036.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/037.xml
+%% ID: valid-sa-037
+%% Type: valid
+%% Sections: 2.6 [15]
+'valid-sa-037'(suite) -> [];
+'valid-sa-037'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/037.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/038.xml
+%% ID: valid-sa-038
+%% Type: valid
+%% Sections: 2.6 [15]
+'valid-sa-038'(suite) -> [];
+'valid-sa-038'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/038.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/039.xml
+%% ID: valid-sa-039
+%% Type: valid
+%% Sections: 2.6 [16]
+'valid-sa-039'(suite) -> [];
+'valid-sa-039'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/039.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/040.xml
+%% ID: valid-sa-040
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [54]
+'valid-sa-040'(suite) -> [];
+'valid-sa-040'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/040.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/041.xml
+%% ID: valid-sa-041
+%% Type: valid
+%% Sections: 3.3.1 4.1 [54] [66]
+'valid-sa-041'(suite) -> [];
+'valid-sa-041'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/041.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/042.xml
+%% ID: valid-sa-042
+%% Type: valid
+%% Sections: 3.3.1 4.1 [54] [66]
+'valid-sa-042'(suite) -> [];
+'valid-sa-042'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/042.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/043.xml
+%% ID: valid-sa-043
+%% Type: valid
+%% Sections: 3.3
+'valid-sa-043'(suite) -> [];
+'valid-sa-043'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/043.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/044.xml
+%% ID: valid-sa-044
+%% Type: valid
+%% Sections: 3.1 [44]
+'valid-sa-044'(suite) -> [];
+'valid-sa-044'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/044.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/045.xml
+%% ID: valid-sa-045
+%% Type: valid
+%% Sections: 3.3 [52]
+'valid-sa-045'(suite) -> [];
+'valid-sa-045'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/045.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/046.xml
+%% ID: valid-sa-046
+%% Type: valid
+%% Sections: 3.3 [52]
+'valid-sa-046'(suite) -> [];
+'valid-sa-046'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/046.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/047.xml
+%% ID: valid-sa-047
+%% Type: valid
+%% Sections: 3.1 [43]
+'valid-sa-047'(suite) -> [];
+'valid-sa-047'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/047.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/048.xml
+%% ID: valid-sa-048
+%% Type: valid
+%% Sections: 2.4 3.1 [14] [43]
+'valid-sa-048'(suite) -> [];
+'valid-sa-048'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/048.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/049.xml
+%% ID: valid-sa-049
+%% Type: valid
+%% Sections: 2.2 [2]
+'valid-sa-049'(suite) -> [];
+'valid-sa-049'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/049.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/050.xml
+%% ID: valid-sa-050
+%% Type: valid
+%% Sections: 2.2 [2]
+'valid-sa-050'(suite) -> [];
+'valid-sa-050'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/050.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/051.xml
+%% ID: valid-sa-051
+%% Type: valid
+%% Sections: 2.2 [2]
+'valid-sa-051'(suite) -> [];
+'valid-sa-051'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/051.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/052.xml
+%% ID: valid-sa-052
+%% Type: valid
+%% Sections: 2.2 [2]
+'valid-sa-052'(suite) -> [];
+'valid-sa-052'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/052.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/053.xml
+%% ID: valid-sa-053
+%% Type: valid
+%% Sections: 4.4.2
+'valid-sa-053'(suite) -> [];
+'valid-sa-053'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/053.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/054.xml
+%% ID: valid-sa-054
+%% Type: valid
+%% Sections: 3.1 [40] [42]
+'valid-sa-054'(suite) -> [];
+'valid-sa-054'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/054.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/055.xml
+%% ID: valid-sa-055
+%% Type: valid
+%% Sections: 2.6 2.10 [16]
+'valid-sa-055'(suite) -> [];
+'valid-sa-055'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/055.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/056.xml
+%% ID: valid-sa-056
+%% Type: valid
+%% Sections: 3.3.1 4.1 [54] [66]
+'valid-sa-056'(suite) -> [];
+'valid-sa-056'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/056.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/057.xml
+%% ID: valid-sa-057
+%% Type: valid
+%% Sections: 3.2.1 [47]
+'valid-sa-057'(suite) -> [];
+'valid-sa-057'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/057.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/058.xml
+%% ID: valid-sa-058
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-058'(suite) -> [];
+'valid-sa-058'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/058.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/059.xml
+%% ID: valid-sa-059
+%% Type: valid
+%% Sections: 3.2 3.3 [46] [53]
+'valid-sa-059'(suite) -> [];
+'valid-sa-059'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/059.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/060.xml
+%% ID: valid-sa-060
+%% Type: valid
+%% Sections: 4.1 [66]
+'valid-sa-060'(suite) -> [];
+'valid-sa-060'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/060.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/061.xml
+%% ID: valid-sa-061
+%% Type: valid
+%% Sections: 4.1 [66]
+'valid-sa-061'(suite) -> [];
+'valid-sa-061'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/061.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/062.xml
+%% ID: valid-sa-062
+%% Type: valid
+%% Sections: 4.1 [66]
+'valid-sa-062'(suite) -> [];
+'valid-sa-062'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/062.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/063.xml
+%% ID: valid-sa-063
+%% Type: valid
+%% Sections: 2.3 [5]
+'valid-sa-063'(suite) -> [];
+'valid-sa-063'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/063.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/064.xml
+%% ID: valid-sa-064
+%% Type: valid
+%% Sections: 4.1 [66]
+'valid-sa-064'(suite) -> [];
+'valid-sa-064'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/064.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/065.xml
+%% ID: valid-sa-065
+%% Type: valid
+%% Sections: 4.5
+'valid-sa-065'(suite) -> [];
+'valid-sa-065'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/065.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/066.xml
+%% ID: valid-sa-066
+%% Type: valid
+%% Sections: 4.1 [66]
+'valid-sa-066'(suite) -> [];
+'valid-sa-066'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/066.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/067.xml
+%% ID: valid-sa-067
+%% Type: valid
+%% Sections: 4.1 [66]
+'valid-sa-067'(suite) -> [];
+'valid-sa-067'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/067.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/068.xml
+%% ID: valid-sa-068
+%% Type: valid
+%% Sections: 2.11, 4.5
+'valid-sa-068'(suite) -> [];
+'valid-sa-068'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/068.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/069.xml
+%% ID: valid-sa-069
+%% Type: valid
+%% Sections: 4.7
+'valid-sa-069'(suite) -> [];
+'valid-sa-069'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/069.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/070.xml
+%% ID: valid-sa-070
+%% Type: valid
+%% Sections: 4.4.8
+'valid-sa-070'(suite) -> [];
+'valid-sa-070'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/070.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/071.xml
+%% ID: valid-sa-071
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [56]
+'valid-sa-071'(suite) -> [];
+'valid-sa-071'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/071.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/072.xml
+%% ID: valid-sa-072
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [56]
+'valid-sa-072'(suite) -> [];
+'valid-sa-072'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/072.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/073.xml
+%% ID: valid-sa-073
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [56]
+'valid-sa-073'(suite) -> [];
+'valid-sa-073'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/073.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/074.xml
+%% ID: valid-sa-074
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [56]
+'valid-sa-074'(suite) -> [];
+'valid-sa-074'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/074.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/075.xml
+%% ID: valid-sa-075
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [56]
+'valid-sa-075'(suite) -> [];
+'valid-sa-075'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/075.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/076.xml
+%% ID: valid-sa-076
+%% Type: valid
+%% Sections: 3.3.1
+'valid-sa-076'(suite) -> [];
+'valid-sa-076'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/076.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/077.xml
+%% ID: valid-sa-077
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [54]
+'valid-sa-077'(suite) -> [];
+'valid-sa-077'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/077.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/078.xml
+%% ID: valid-sa-078
+%% Type: valid
+%% Sections: 3.3 3.3.1 [52] [54]
+'valid-sa-078'(suite) -> [];
+'valid-sa-078'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/078.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/079.xml
+%% ID: valid-sa-079
+%% Type: valid
+%% Sections: 3.3 3.3.2 [52] [60]
+'valid-sa-079'(suite) -> [];
+'valid-sa-079'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/079.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/080.xml
+%% ID: valid-sa-080
+%% Type: valid
+%% Sections: 3.3 3.3.2 [52] [60]
+'valid-sa-080'(suite) -> [];
+'valid-sa-080'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/080.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/081.xml
+%% ID: valid-sa-081
+%% Type: valid
+%% Sections: 3.2.1 [50]
+'valid-sa-081'(suite) -> [];
+'valid-sa-081'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/081.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/082.xml
+%% ID: valid-sa-082
+%% Type: valid
+%% Sections: 4.2 [72]
+'valid-sa-082'(suite) -> [];
+'valid-sa-082'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/082.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/083.xml
+%% ID: valid-sa-083
+%% Type: valid
+%% Sections: 4.2 [72]
+'valid-sa-083'(suite) -> [];
+'valid-sa-083'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/083.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/084.xml
+%% ID: valid-sa-084
+%% Type: valid
+%% Sections: 2.10
+'valid-sa-084'(suite) -> [];
+'valid-sa-084'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/084.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/085.xml
+%% ID: valid-sa-085
+%% Type: valid
+%% Sections: 4
+'valid-sa-085'(suite) -> [];
+'valid-sa-085'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/085.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/086.xml
+%% ID: valid-sa-086
+%% Type: valid
+%% Sections: 4.2
+'valid-sa-086'(suite) -> [];
+'valid-sa-086'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/086.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/087.xml
+%% ID: valid-sa-087
+%% Type: valid
+%% Sections: 4.5
+'valid-sa-087'(suite) -> [];
+'valid-sa-087'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/087.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/088.xml
+%% ID: valid-sa-088
+%% Type: valid
+%% Sections: 4.5
+'valid-sa-088'(suite) -> [];
+'valid-sa-088'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/088.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/089.xml
+%% ID: valid-sa-089
+%% Type: valid
+%% Sections: 4.1 [66]
+'valid-sa-089'(suite) -> [];
+'valid-sa-089'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/089.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/090.xml
+%% ID: valid-sa-090
+%% Type: valid
+%% Sections: 3.3.1
+'valid-sa-090'(suite) -> [];
+'valid-sa-090'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/090.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/091.xml
+%% ID: valid-sa-091
+%% Type: valid
+%% Sections: 3.3.1
+'valid-sa-091'(suite) -> [];
+'valid-sa-091'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/091.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/092.xml
+%% ID: valid-sa-092
+%% Type: valid
+%% Sections: 2.3 2.10
+'valid-sa-092'(suite) -> [];
+'valid-sa-092'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/092.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/093.xml
+%% ID: valid-sa-093
+%% Type: valid
+%% Sections: 2.10
+'valid-sa-093'(suite) -> [];
+'valid-sa-093'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/093.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/094.xml
+%% ID: valid-sa-094
+%% Type: valid
+%% Sections: 2.8
+'valid-sa-094'(suite) -> [];
+'valid-sa-094'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/094.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/095.xml
+%% ID: valid-sa-095
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-095'(suite) -> [];
+'valid-sa-095'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/095.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/096.xml
+%% ID: valid-sa-096
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-096'(suite) -> [];
+'valid-sa-096'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/096.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/097.xml
+%% ID: valid-sa-097
+%% Type: valid
+%% Sections: 3.3
+'valid-sa-097'(suite) -> [];
+'valid-sa-097'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/097.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/098.xml
+%% ID: valid-sa-098
+%% Type: valid
+%% Sections: 2.6 2.10 [16]
+'valid-sa-098'(suite) -> [];
+'valid-sa-098'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/098.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/099.xml
+%% ID: valid-sa-099
+%% Type: valid
+%% Sections: 4.3.3 [81]
+'valid-sa-099'(suite) -> [];
+'valid-sa-099'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/099.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/100.xml
+%% ID: valid-sa-100
+%% Type: valid
+%% Sections: 2.3 [12]
+'valid-sa-100'(suite) -> [];
+'valid-sa-100'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/100.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/101.xml
+%% ID: valid-sa-101
+%% Type: valid
+%% Sections: 4.5
+'valid-sa-101'(suite) -> [];
+'valid-sa-101'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/101.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/102.xml
+%% ID: valid-sa-102
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-102'(suite) -> [];
+'valid-sa-102'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/102.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/103.xml
+%% ID: valid-sa-103
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-103'(suite) -> [];
+'valid-sa-103'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/103.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/104.xml
+%% ID: valid-sa-104
+%% Type: valid
+%% Sections: 3.1 [40]
+'valid-sa-104'(suite) -> [];
+'valid-sa-104'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/104.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/105.xml
+%% ID: valid-sa-105
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-105'(suite) -> [];
+'valid-sa-105'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/105.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/106.xml
+%% ID: valid-sa-106
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-106'(suite) -> [];
+'valid-sa-106'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/106.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/107.xml
+%% ID: valid-sa-107
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-107'(suite) -> [];
+'valid-sa-107'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/107.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/108.xml
+%% ID: valid-sa-108
+%% Type: valid
+%% Sections: 2.11, 3.3.3
+'valid-sa-108'(suite) -> [];
+'valid-sa-108'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/108.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/109.xml
+%% ID: valid-sa-109
+%% Type: valid
+%% Sections: 2.3 3.1 [10][40][41]
+'valid-sa-109'(suite) -> [];
+'valid-sa-109'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/109.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/110.xml
+%% ID: valid-sa-110
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-110'(suite) -> [];
+'valid-sa-110'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/110.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/111.xml
+%% ID: valid-sa-111
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-111'(suite) -> [];
+'valid-sa-111'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/111.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/112.xml
+%% ID: valid-sa-112
+%% Type: valid
+%% Sections: 3.2.1 [48][49]
+'valid-sa-112'(suite) -> [];
+'valid-sa-112'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/112.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/113.xml
+%% ID: valid-sa-113
+%% Type: valid
+%% Sections: 3.3 [52][53]
+'valid-sa-113'(suite) -> [];
+'valid-sa-113'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/113.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/114.xml
+%% ID: valid-sa-114
+%% Type: valid
+%% Sections: 2.7 [20]
+'valid-sa-114'(suite) -> [];
+'valid-sa-114'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/114.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/115.xml
+%% ID: valid-sa-115
+%% Type: valid
+%% Sections: 3.3.3
+'valid-sa-115'(suite) -> [];
+'valid-sa-115'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/115.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/116.xml
+%% ID: valid-sa-116
+%% Type: valid
+%% Sections: 2.11
+'valid-sa-116'(suite) -> [];
+'valid-sa-116'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/116.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/117.xml
+%% ID: valid-sa-117
+%% Type: valid
+%% Sections: 4.5
+'valid-sa-117'(suite) -> [];
+'valid-sa-117'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/117.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/118.xml
+%% ID: valid-sa-118
+%% Type: valid
+%% Sections: 4.5
+'valid-sa-118'(suite) -> [];
+'valid-sa-118'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/118.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa/119.xml
+%% ID: valid-sa-119
+%% Type: valid
+%% Sections: 2.5
+'valid-sa-119'(suite) -> [];
+'valid-sa-119'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/sa/119.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/001.xml
+%% ID: valid-not-sa-001
+%% Type: valid
+%% Sections: 4.2.2 [75]
+'valid-not-sa-001'(suite) -> [];
+'valid-not-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/001.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/002.xml
+%% ID: valid-not-sa-002
+%% Type: valid
+%% Sections: 4.2.2 [75]
+'valid-not-sa-002'(suite) -> [];
+'valid-not-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/002.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/003.xml
+%% ID: valid-not-sa-003
+%% Type: valid
+%% Sections: 4.1 [69]
+'valid-not-sa-003'(suite) -> [];
+'valid-not-sa-003'(_Config) -> {skip, "external entity NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/003.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/004.xml
+%% ID: valid-not-sa-004
+%% Type: valid
+%% Sections: 4.1 [69]
+'valid-not-sa-004'(suite) -> [];
+'valid-not-sa-004'(_Config) -> {skip, "external entity NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/004.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/005.xml
+%% ID: valid-not-sa-005
+%% Type: valid
+%% Sections: 4.1 [69]
+'valid-not-sa-005'(suite) -> [];
+'valid-not-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/005.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/006.xml
+%% ID: valid-not-sa-006
+%% Type: valid
+%% Sections: 3.3 [52]
+'valid-not-sa-006'(suite) -> [];
+'valid-not-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/006.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/007.xml
+%% ID: valid-not-sa-007
+%% Type: valid
+%% Sections: 3.3 [52]
+'valid-not-sa-007'(suite) -> [];
+'valid-not-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/007.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/008.xml
+%% ID: valid-not-sa-008
+%% Type: valid
+%% Sections: 4.2.2 [75]
+'valid-not-sa-008'(suite) -> [];
+'valid-not-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/008.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/009.xml
+%% ID: valid-not-sa-009
+%% Type: valid
+%% Sections: 4.2.2 [75]
+'valid-not-sa-009'(suite) -> [];
+'valid-not-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/009.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/010.xml
+%% ID: valid-not-sa-010
+%% Type: valid
+%% Sections: 3.3 [52]
+'valid-not-sa-010'(suite) -> [];
+'valid-not-sa-010'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/010.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/011.xml
+%% ID: valid-not-sa-011
+%% Type: valid
+%% Sections: 4.2 4.2.1 [72] [75]
+'valid-not-sa-011'(suite) -> [];
+'valid-not-sa-011'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/011.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/012.xml
+%% ID: valid-not-sa-012
+%% Type: valid
+%% Sections: 4.3.1 [77]
+'valid-not-sa-012'(suite) -> [];
+'valid-not-sa-012'(Config) -> {skip, "Fix 3"}.
+ %% ?line file:set_cwd(?config(data_dir,Config)),
+ %% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/012.xml"]),
+ %% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/013.xml
+%% ID: valid-not-sa-013
+%% Type: valid
+%% Sections: 3.4 [62]
+'valid-not-sa-013'(suite) -> [];
+'valid-not-sa-013'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/013.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/014.xml
+%% ID: valid-not-sa-014
+%% Type: valid
+%% Sections: 3.4 [62]
+'valid-not-sa-014'(suite) -> [];
+'valid-not-sa-014'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/014.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/015.xml
+%% ID: valid-not-sa-015
+%% Type: valid
+%% Sections: 3.4 [63]
+'valid-not-sa-015'(suite) -> [];
+'valid-not-sa-015'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/015.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/016.xml
+%% ID: valid-not-sa-016
+%% Type: valid
+%% Sections: 3.4 [62]
+'valid-not-sa-016'(suite) -> [];
+'valid-not-sa-016'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/016.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/017.xml
+%% ID: valid-not-sa-017
+%% Type: valid
+%% Sections: 4.2 [72]
+'valid-not-sa-017'(suite) -> [];
+'valid-not-sa-017'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/017.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/018.xml
+%% ID: valid-not-sa-018
+%% Type: valid
+%% Sections: 4.2.2 [75]
+'valid-not-sa-018'(suite) -> [];
+'valid-not-sa-018'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/018.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/019.xml
+%% ID: valid-not-sa-019
+%% Type: valid
+%% Sections: 4.4.8
+'valid-not-sa-019'(suite) -> [];
+'valid-not-sa-019'(_Config) -> {skip, "partly replacement of markupdecls"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/019.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/020.xml
+%% ID: valid-not-sa-020
+%% Type: valid
+%% Sections: 4.4.8
+'valid-not-sa-020'(suite) -> [];
+'valid-not-sa-020'(_Config) -> {skip, "partly replacement of markupdecls"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/020.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/021.xml
+%% ID: valid-not-sa-021
+%% Type: valid
+%% Sections: 4.2 [72]
+'valid-not-sa-021'(suite) -> [];
+'valid-not-sa-021'(_Config) -> {skip, "partly replacement of markupdecls"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/021.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/023.xml
+%% ID: valid-not-sa-023
+%% Type: valid
+%% Sections: 2.3 4.1 [10] [69]
+'valid-not-sa-023'(suite) -> [];
+'valid-not-sa-023'(_Config) -> {skip, "partly replacement of markupdecls"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/023.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/024.xml
+%% ID: valid-not-sa-024
+%% Type: valid
+%% Sections: 2.8, 4.1 [69]
+'valid-not-sa-024'(suite) -> [];
+'valid-not-sa-024'(_Config) -> {skip, "partly replacement of markupdecls"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/024.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/025.xml
+%% ID: valid-not-sa-025
+%% Type: valid
+%% Sections: 4.2
+'valid-not-sa-025'(suite) -> [];
+'valid-not-sa-025'(_Config) -> {skip, "partly replacement of markupdecls"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/025.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/026.xml
+%% ID: valid-not-sa-026
+%% Type: valid
+%% Sections: 3.3 [52]
+'valid-not-sa-026'(suite) -> [];
+'valid-not-sa-026'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/026.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/027.xml
+%% ID: valid-not-sa-027
+%% Type: valid
+%% Sections: 4.1 [69]
+'valid-not-sa-027'(suite) -> [];
+'valid-not-sa-027'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/027.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/028.xml
+%% ID: valid-not-sa-028
+%% Type: valid
+%% Sections: 3.4 [62]
+'valid-not-sa-028'(suite) -> [];
+'valid-not-sa-028'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/028.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/029.xml
+%% ID: valid-not-sa-029
+%% Type: valid
+%% Sections: 3.4 [62]
+'valid-not-sa-029'(suite) -> [];
+'valid-not-sa-029'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/029.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/030.xml
+%% ID: valid-not-sa-030
+%% Type: valid
+%% Sections: 3.4 [62]
+'valid-not-sa-030'(suite) -> [];
+'valid-not-sa-030'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/030.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa/031.xml
+%% ID: valid-not-sa-031
+%% Type: valid
+%% Sections: 2.7
+'valid-not-sa-031'(suite) -> [];
+'valid-not-sa-031'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/not-sa/031.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/001.xml
+%% ID: valid-ext-sa-001
+%% Type: valid
+%% Sections: 2.11
+'valid-ext-sa-001'(suite) -> [];
+'valid-ext-sa-001'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/001.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/002.xml
+%% ID: valid-ext-sa-002
+%% Type: valid
+%% Sections: 2.11
+'valid-ext-sa-002'(suite) -> [];
+'valid-ext-sa-002'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/002.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/003.xml
+%% ID: valid-ext-sa-003
+%% Type: valid
+%% Sections: 3.1 4.1 [43] [68]
+'valid-ext-sa-003'(suite) -> [];
+'valid-ext-sa-003'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/003.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/004.xml
+%% ID: valid-ext-sa-004
+%% Type: valid
+%% Sections: 2.11
+'valid-ext-sa-004'(suite) -> [];
+'valid-ext-sa-004'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/004.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/005.xml
+%% ID: valid-ext-sa-005
+%% Type: valid
+%% Sections: 3.2.1 4.2.2 [48] [75]
+'valid-ext-sa-005'(suite) -> [];
+'valid-ext-sa-005'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/005.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/006.xml
+%% ID: valid-ext-sa-006
+%% Type: valid
+%% Sections: 2.11 3.2.1 3.2.2 4.2.2 [48] [51] [75]
+'valid-ext-sa-006'(suite) -> [];
+'valid-ext-sa-006'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/006.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/007.xml
+%% ID: valid-ext-sa-007
+%% Type: valid
+%% Sections: 4.2.2 4.4.3 [75]
+'valid-ext-sa-007'(suite) -> [];
+'valid-ext-sa-007'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/007.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/008.xml
+%% ID: valid-ext-sa-008
+%% Type: valid
+%% Sections: 4.2.2 4.3.3. 4.4.3 [75] [80]
+'valid-ext-sa-008'(suite) -> [];
+'valid-ext-sa-008'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/008.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/009.xml
+%% ID: valid-ext-sa-009
+%% Type: valid
+%% Sections: 2.11
+'valid-ext-sa-009'(suite) -> [];
+'valid-ext-sa-009'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/009.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/011.xml
+%% ID: valid-ext-sa-011
+%% Type: valid
+%% Sections: 2.11 4.2.2 [75]
+'valid-ext-sa-011'(suite) -> [];
+'valid-ext-sa-011'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/011.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/012.xml
+%% ID: valid-ext-sa-012
+%% Type: valid
+%% Sections: 4.2.1 4.2.2
+'valid-ext-sa-012'(suite) -> [];
+'valid-ext-sa-012'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/012.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/013.xml
+%% ID: valid-ext-sa-013
+%% Type: valid
+%% Sections: 3.3.3
+'valid-ext-sa-013'(suite) -> [];
+'valid-ext-sa-013'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/013.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext-sa/014.xml
+%% ID: valid-ext-sa-014
+%% Type: valid
+%% Sections: 4.1 4.4.3 [68]
+'valid-ext-sa-014'(suite) -> [];
+'valid-ext-sa-014'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"xmltest","valid/ext-sa/014.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: James Clark XMLTEST cases, 18-Nov-1998
+
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: James Clark XML 1.0 Tests
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: pr-xml-euc-jp.xml
+%% ID: pr-xml-euc-jp
+%% Type: error
+%% Sections: 4.3.3 [4,84]
+'pr-xml-euc-jp'(suite) -> [];
+'pr-xml-euc-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"japanese","pr-xml-euc-jp.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: pr-xml-iso-2022-jp.xml
+%% ID: pr-xml-iso-2022-jp
+%% Type: error
+%% Sections: 4.3.3 [4,84]
+'pr-xml-iso-2022-jp'(suite) -> [];
+'pr-xml-iso-2022-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"japanese","pr-xml-iso-2022-jp.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: pr-xml-little-endian.xml
+%% ID: pr-xml-little
+%% Type: valid
+%% Sections: 4.3.3 [4,84]
+'pr-xml-little'(suite) -> [];
+'pr-xml-little'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"japanese","pr-xml-little-endian.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: pr-xml-shift_jis.xml
+%% ID: pr-xml-shift_jis
+%% Type: error
+%% Sections: 4.3.3 [4,84]
+'pr-xml-shift_jis'(suite) -> [];
+'pr-xml-shift_jis'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"japanese","pr-xml-shift_jis.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: pr-xml-utf-16.xml
+%% ID: pr-xml-utf-16
+%% Type: valid
+%% Sections: 4.3.3 [4,84]
+'pr-xml-utf-16'(suite) -> [];
+'pr-xml-utf-16'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"japanese","pr-xml-utf-16.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: pr-xml-utf-8.xml
+%% ID: pr-xml-utf-8
+%% Type: valid
+%% Sections: 4.3.3 [4,84]
+'pr-xml-utf-8'(suite) -> [];
+'pr-xml-utf-8'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"japanese","pr-xml-utf-8.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: weekly-euc-jp.xml
+%% ID: weekly-euc-jp
+%% Type: error
+%% Sections: 4.3.3 [4,84]
+'weekly-euc-jp'(suite) -> [];
+'weekly-euc-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"japanese","weekly-euc-jp.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: weekly-iso-2022-jp.xml
+%% ID: weekly-iso-2022-jp
+%% Type: error
+%% Sections: 4.3.3 [4,84]
+'weekly-iso-2022-jp'(suite) -> [];
+'weekly-iso-2022-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"japanese","weekly-iso-2022-jp.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: weekly-little-endian.xml
+%% ID: weekly-little
+%% Type: valid
+%% Sections: 4.3.3 [4,84]
+'weekly-little'(suite) -> [];
+'weekly-little'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"japanese","weekly-little-endian.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: weekly-shift_jis.xml
+%% ID: weekly-shift_jis
+%% Type: error
+%% Sections: 4.3.3 [4,84]
+'weekly-shift_jis'(suite) -> [];
+'weekly-shift_jis'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"japanese","weekly-shift_jis.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: weekly-utf-16.xml
+%% ID: weekly-utf-16
+%% Type: valid
+%% Sections: 4.3.3 [4,84]
+'weekly-utf-16'(suite) -> [];
+'weekly-utf-16'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"japanese","weekly-utf-16.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: weekly-utf-8.xml
+%% ID: weekly-utf-8
+%% Type: valid
+%% Sections: 4.3.3 [4,84]
+'weekly-utf-8'(suite) -> [];
+'weekly-utf-8'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"japanese","weekly-utf-8.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: Fuji Xerox Japanese Text Tests
+
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: Fuji Xerox Japanese Text Tests XML 1.0 Tests
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/pe01.xml
+%% ID: pe01
+%% Type: valid
+%% Sections: 2.8
+'pe01'(suite) -> [];
+'pe01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/pe01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/dtd00.xml
+%% ID: dtd00
+%% Type: valid
+%% Sections: 3.2.2 [51]
+'dtd00'(suite) -> [];
+'dtd00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/dtd00.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/dtd01.xml
+%% ID: dtd01
+%% Type: valid
+%% Sections: 2.5 [15]
+'dtd01'(suite) -> [];
+'dtd01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/dtd01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/element.xml
+%% ID: element
+%% Type: valid
+%% Sections: 3
+'element'(suite) -> [];
+'element'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/element.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext01.xml
+%% ID: ext01
+%% Type: valid
+%% Sections: 4.3.1 4.3.2 [77] [78]
+'ext01'(suite) -> [];
+'ext01'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"sun","valid/ext01.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/ext02.xml
+%% ID: ext02
+%% Type: valid
+%% Sections: 4.3.2 [78]
+'ext02'(suite) -> [];
+'ext02'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"sun","valid/ext02.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa01.xml
+%% ID: not-sa01
+%% Type: valid
+%% Sections: 2.9
+'not-sa01'(suite) -> [];
+'not-sa01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/not-sa01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa02.xml
+%% ID: not-sa02
+%% Type: valid
+%% Sections: 2.9
+'not-sa02'(suite) -> [];
+'not-sa02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/not-sa02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa03.xml
+%% ID: not-sa03
+%% Type: valid
+%% Sections: 2.9
+'not-sa03'(suite) -> [];
+'not-sa03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/not-sa03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/not-sa04.xml
+%% ID: not-sa04
+%% Type: valid
+%% Sections: 2.9
+'not-sa04'(suite) -> [];
+'not-sa04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/not-sa04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/notation01.xml
+%% ID: notation01
+%% Type: valid
+%% Sections: 4.7 [82]
+'notation01'(suite) -> [];
+'notation01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/notation01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/optional.xml
+%% ID: optional
+%% Type: valid
+%% Sections: 3 3.2.1 [47]
+'optional'(suite) -> [];
+'optional'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/optional.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/required00.xml
+%% ID: required00
+%% Type: valid
+%% Sections: 3.3.2 [60]
+'required00'(suite) -> [];
+'required00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/required00.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa01.xml
+%% ID: sa01
+%% Type: valid
+%% Sections: 2.9 [32]
+'sa01'(suite) -> [];
+'sa01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/sa01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa02.xml
+%% ID: sa02
+%% Type: valid
+%% Sections: 2.9 [32]
+'sa02'(suite) -> [];
+'sa02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/sa02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa03.xml
+%% ID: sa03
+%% Type: valid
+%% Sections: 2.9 [32]
+'sa03'(suite) -> [];
+'sa03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/sa03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa04.xml
+%% ID: sa04
+%% Type: valid
+%% Sections: 2.9 [32]
+'sa04'(suite) -> [];
+'sa04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/sa04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sa05.xml
+%% ID: sa05
+%% Type: valid
+%% Sections: 2.9 [32]
+'sa05'(suite) -> [];
+'sa05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/sa05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/sgml01.xml
+%% ID: v-sgml01
+%% Type: valid
+%% Sections: 3.3.1 [59]
+'v-sgml01'(suite) -> [];
+'v-sgml01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/sgml01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/v-lang01.xml
+%% ID: v-lang01
+%% Type: valid
+%% Sections: 2.12 [35]
+'v-lang01'(suite) -> [];
+'v-lang01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/v-lang01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/v-lang02.xml
+%% ID: v-lang02
+%% Type: valid
+%% Sections: 2.12 [35]
+'v-lang02'(suite) -> [];
+'v-lang02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/v-lang02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/v-lang03.xml
+%% ID: v-lang03
+%% Type: valid
+%% Sections: 2.12 [36]
+'v-lang03'(suite) -> [];
+'v-lang03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/v-lang03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/v-lang04.xml
+%% ID: v-lang04
+%% Type: valid
+%% Sections: 2.12 [37]
+'v-lang04'(suite) -> [];
+'v-lang04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/v-lang04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/v-lang05.xml
+%% ID: v-lang05
+%% Type: valid
+%% Sections: 2.12 [35]
+'v-lang05'(suite) -> [];
+'v-lang05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/v-lang05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/v-lang06.xml
+%% ID: v-lang06
+%% Type: valid
+%% Sections: 2.12 [37]
+'v-lang06'(suite) -> [];
+'v-lang06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/v-lang06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/pe00.xml
+%% ID: v-pe00
+%% Type: valid
+%% Sections: 4.5
+'v-pe00'(suite) -> [];
+'v-pe00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/pe00.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/pe03.xml
+%% ID: v-pe03
+%% Type: valid
+%% Sections: 4.5
+'v-pe03'(suite) -> [];
+'v-pe03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/pe03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/pe02.xml
+%% ID: v-pe02
+%% Type: valid
+%% Sections: 4.5
+'v-pe02'(suite) -> [];
+'v-pe02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","valid/pe02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/dtd01.xml
+%% ID: inv-dtd01
+%% Type: invalid
+%% Sections: 3.2.2
+'inv-dtd01'(suite) -> [];
+'inv-dtd01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/dtd01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/dtd02.xml
+%% ID: inv-dtd02
+%% Type: invalid
+%% Sections: 4.2.2
+'inv-dtd02'(suite) -> [];
+'inv-dtd02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/dtd02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/dtd03.xml
+%% ID: inv-dtd03
+%% Type: invalid
+%% Sections: 3
+'inv-dtd03'(suite) -> [];
+'inv-dtd03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/dtd03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/el01.xml
+%% ID: el01
+%% Type: invalid
+%% Sections: 3
+'el01'(suite) -> [];
+'el01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/el01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/el02.xml
+%% ID: el02
+%% Type: invalid
+%% Sections: 3
+'el02'(suite) -> [];
+'el02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/el02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/el03.xml
+%% ID: el03
+%% Type: invalid
+%% Sections: 3
+'el03'(suite) -> [];
+'el03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/el03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/el04.xml
+%% ID: el04
+%% Type: invalid
+%% Sections: 3.2
+'el04'(suite) -> [];
+'el04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/el04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/el05.xml
+%% ID: el05
+%% Type: invalid
+%% Sections: 3.2.2
+'el05'(suite) -> [];
+'el05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/el05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/el06.xml
+%% ID: el06
+%% Type: invalid
+%% Sections: 3
+'el06'(suite) -> [];
+'el06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/el06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id01.xml
+%% ID: id01
+%% Type: invalid
+%% Sections: 3.3.1
+'id01'(suite) -> [];
+'id01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id02.xml
+%% ID: id02
+%% Type: invalid
+%% Sections: 3.3.1
+'id02'(suite) -> [];
+'id02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id03.xml
+%% ID: id03
+%% Type: invalid
+%% Sections: 3.3.1
+'id03'(suite) -> [];
+'id03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id04.xml
+%% ID: id04
+%% Type: invalid
+%% Sections: 3.3.1
+'id04'(suite) -> [];
+'id04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id05.xml
+%% ID: id05
+%% Type: invalid
+%% Sections: 3.3.1
+'id05'(suite) -> [];
+'id05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id06.xml
+%% ID: id06
+%% Type: invalid
+%% Sections: 3.3.1
+'id06'(suite) -> [];
+'id06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id07.xml
+%% ID: id07
+%% Type: invalid
+%% Sections: 3.3.1
+'id07'(suite) -> [];
+'id07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id08.xml
+%% ID: id08
+%% Type: invalid
+%% Sections: 3.3.1
+'id08'(suite) -> [];
+'id08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/id09.xml
+%% ID: id09
+%% Type: invalid
+%% Sections: 3.3.1
+'id09'(suite) -> [];
+'id09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/id09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa01.xml
+%% ID: inv-not-sa01
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa01'(suite) -> [];
+'inv-not-sa01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa02.xml
+%% ID: inv-not-sa02
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa02'(suite) -> [];
+'inv-not-sa02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa04.xml
+%% ID: inv-not-sa04
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa04'(suite) -> [];
+'inv-not-sa04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa05.xml
+%% ID: inv-not-sa05
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa05'(suite) -> [];
+'inv-not-sa05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa06.xml
+%% ID: inv-not-sa06
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa06'(suite) -> [];
+'inv-not-sa06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa07.xml
+%% ID: inv-not-sa07
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa07'(suite) -> [];
+'inv-not-sa07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa08.xml
+%% ID: inv-not-sa08
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa08'(suite) -> [];
+'inv-not-sa08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa09.xml
+%% ID: inv-not-sa09
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa09'(suite) -> [];
+'inv-not-sa09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa10.xml
+%% ID: inv-not-sa10
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa10'(suite) -> [];
+'inv-not-sa10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa11.xml
+%% ID: inv-not-sa11
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa11'(suite) -> [];
+'inv-not-sa11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa12.xml
+%% ID: inv-not-sa12
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa12'(suite) -> [];
+'inv-not-sa12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa13.xml
+%% ID: inv-not-sa13
+%% Type: invalid
+%% Sections: 2.9
+'inv-not-sa13'(suite) -> [];
+'inv-not-sa13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/not-sa14.xml
+%% ID: inv-not-sa14
+%% Type: invalid
+%% Sections: 3
+'inv-not-sa14'(suite) -> [];
+'inv-not-sa14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/not-sa14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional01.xml
+%% ID: optional01
+%% Type: invalid
+%% Sections: 3
+'optional01'(suite) -> [];
+'optional01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional02.xml
+%% ID: optional02
+%% Type: invalid
+%% Sections: 3
+'optional02'(suite) -> [];
+'optional02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional03.xml
+%% ID: optional03
+%% Type: invalid
+%% Sections: 3
+'optional03'(suite) -> [];
+'optional03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional04.xml
+%% ID: optional04
+%% Type: invalid
+%% Sections: 3
+'optional04'(suite) -> [];
+'optional04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional05.xml
+%% ID: optional05
+%% Type: invalid
+%% Sections: 3
+'optional05'(suite) -> [];
+'optional05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional06.xml
+%% ID: optional06
+%% Type: invalid
+%% Sections: 3
+'optional06'(suite) -> [];
+'optional06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional07.xml
+%% ID: optional07
+%% Type: invalid
+%% Sections: 3
+'optional07'(suite) -> [];
+'optional07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional08.xml
+%% ID: optional08
+%% Type: invalid
+%% Sections: 3
+'optional08'(suite) -> [];
+'optional08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional09.xml
+%% ID: optional09
+%% Type: invalid
+%% Sections: 3
+'optional09'(suite) -> [];
+'optional09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional10.xml
+%% ID: optional10
+%% Type: invalid
+%% Sections: 3
+'optional10'(suite) -> [];
+'optional10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional11.xml
+%% ID: optional11
+%% Type: invalid
+%% Sections: 3
+'optional11'(suite) -> [];
+'optional11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional12.xml
+%% ID: optional12
+%% Type: invalid
+%% Sections: 3
+'optional12'(suite) -> [];
+'optional12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional13.xml
+%% ID: optional13
+%% Type: invalid
+%% Sections: 3
+'optional13'(suite) -> [];
+'optional13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional14.xml
+%% ID: optional14
+%% Type: invalid
+%% Sections: 3
+'optional14'(suite) -> [];
+'optional14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional20.xml
+%% ID: optional20
+%% Type: invalid
+%% Sections: 3
+'optional20'(suite) -> [];
+'optional20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional20.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional21.xml
+%% ID: optional21
+%% Type: invalid
+%% Sections: 3
+'optional21'(suite) -> [];
+'optional21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional21.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional22.xml
+%% ID: optional22
+%% Type: invalid
+%% Sections: 3
+'optional22'(suite) -> [];
+'optional22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional22.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional23.xml
+%% ID: optional23
+%% Type: invalid
+%% Sections: 3
+'optional23'(suite) -> [];
+'optional23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional23.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional24.xml
+%% ID: optional24
+%% Type: invalid
+%% Sections: 3
+'optional24'(suite) -> [];
+'optional24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional24.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/optional25.xml
+%% ID: optional25
+%% Type: invalid
+%% Sections: 3
+'optional25'(suite) -> [];
+'optional25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/optional25.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/required00.xml
+%% ID: inv-required00
+%% Type: invalid
+%% Sections: 3.3.2
+'inv-required00'(suite) -> [];
+'inv-required00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/required00.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/required01.xml
+%% ID: inv-required01
+%% Type: invalid
+%% Sections: 3.1 2.10
+'inv-required01'(suite) -> [];
+'inv-required01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/required01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/required02.xml
+%% ID: inv-required02
+%% Type: invalid
+%% Sections: 3.1 2.12
+'inv-required02'(suite) -> [];
+'inv-required02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/required02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/root.xml
+%% ID: root
+%% Type: invalid
+%% Sections: 2.8
+'root'(suite) -> [];
+'root'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/root.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr01.xml
+%% ID: attr01
+%% Type: invalid
+%% Sections: 3.3.1
+'attr01'(suite) -> [];
+'attr01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr02.xml
+%% ID: attr02
+%% Type: invalid
+%% Sections: 3.3.1
+'attr02'(suite) -> [];
+'attr02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr03.xml
+%% ID: attr03
+%% Type: invalid
+%% Sections: 3.3.1
+'attr03'(suite) -> [];
+'attr03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr04.xml
+%% ID: attr04
+%% Type: invalid
+%% Sections: 3.3.1
+'attr04'(suite) -> [];
+'attr04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr05.xml
+%% ID: attr05
+%% Type: invalid
+%% Sections: 3.3.1
+'attr05'(suite) -> [];
+'attr05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr06.xml
+%% ID: attr06
+%% Type: invalid
+%% Sections: 3.3.1
+'attr06'(suite) -> [];
+'attr06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr07.xml
+%% ID: attr07
+%% Type: invalid
+%% Sections: 3.3.1
+'attr07'(suite) -> [];
+'attr07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr08.xml
+%% ID: attr08
+%% Type: invalid
+%% Sections: 3.3.2
+'attr08'(suite) -> [];
+'attr08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr09.xml
+%% ID: attr09
+%% Type: invalid
+%% Sections: 3.3.2
+'attr09'(suite) -> [];
+'attr09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr10.xml
+%% ID: attr10
+%% Type: invalid
+%% Sections: 3.3.2
+'attr10'(suite) -> [];
+'attr10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr11.xml
+%% ID: attr11
+%% Type: invalid
+%% Sections: 3.3.2
+'attr11'(suite) -> [];
+'attr11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr12.xml
+%% ID: attr12
+%% Type: invalid
+%% Sections: 3.3.2
+'attr12'(suite) -> [];
+'attr12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr13.xml
+%% ID: attr13
+%% Type: invalid
+%% Sections: 3.3.2
+'attr13'(suite) -> [];
+'attr13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr14.xml
+%% ID: attr14
+%% Type: invalid
+%% Sections: 3.3.2
+'attr14'(suite) -> [];
+'attr14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr15.xml
+%% ID: attr15
+%% Type: invalid
+%% Sections: 3.3.2
+'attr15'(suite) -> [];
+'attr15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/attr16.xml
+%% ID: attr16
+%% Type: invalid
+%% Sections: 3.3.2
+'attr16'(suite) -> [];
+'attr16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/attr16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/utf16b.xml
+%% ID: utf16b
+%% Type: invalid
+%% Sections: 4.3.3 2.8
+'utf16b'(suite) -> [];
+'utf16b'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/utf16b.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/utf16l.xml
+%% ID: utf16l
+%% Type: invalid
+%% Sections: 4.3.3 2.8
+'utf16l'(suite) -> [];
+'utf16l'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/utf16l.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/empty.xml
+%% ID: empty
+%% Type: invalid
+%% Sections: 2.4 2.7 [18] 3
+'empty'(suite) -> [];
+'empty'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","invalid/empty.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/not-sa03.xml
+%% ID: not-wf-sa03
+%% Type: not-wf
+%% Sections: 2.9
+'not-wf-sa03'(suite) -> [];
+'not-wf-sa03'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/not-sa03.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist01.xml
+%% ID: attlist01
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist01'(suite) -> [];
+'attlist01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist02.xml
+%% ID: attlist02
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist02'(suite) -> [];
+'attlist02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist03.xml
+%% ID: attlist03
+%% Type: not-wf
+%% Sections: 3.3.1 [59]
+'attlist03'(suite) -> [];
+'attlist03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist04.xml
+%% ID: attlist04
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist04'(suite) -> [];
+'attlist04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist05.xml
+%% ID: attlist05
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist05'(suite) -> [];
+'attlist05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist06.xml
+%% ID: attlist06
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist06'(suite) -> [];
+'attlist06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist07.xml
+%% ID: attlist07
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist07'(suite) -> [];
+'attlist07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist08.xml
+%% ID: attlist08
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist08'(suite) -> [];
+'attlist08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist09.xml
+%% ID: attlist09
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'attlist09'(suite) -> [];
+'attlist09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist10.xml
+%% ID: attlist10
+%% Type: not-wf
+%% Sections: 3.1 [40]
+'attlist10'(suite) -> [];
+'attlist10'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist10.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/attlist11.xml
+%% ID: attlist11
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'attlist11'(suite) -> [];
+'attlist11'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/attlist11.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/cond01.xml
+%% ID: cond01
+%% Type: not-wf
+%% Sections: 3.4 [61]
+'cond01'(suite) -> [];
+'cond01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/cond01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/cond02.xml
+%% ID: cond02
+%% Type: not-wf
+%% Sections: 3.4 [61]
+'cond02'(suite) -> [];
+'cond02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/cond02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/content01.xml
+%% ID: content01
+%% Type: not-wf
+%% Sections: 3.2.1 [48]
+'content01'(suite) -> [];
+'content01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/content01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/content02.xml
+%% ID: content02
+%% Type: not-wf
+%% Sections: 3.2.1 [48]
+'content02'(suite) -> [];
+'content02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/content02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/content03.xml
+%% ID: content03
+%% Type: not-wf
+%% Sections: 3.2.1 [48]
+'content03'(suite) -> [];
+'content03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/content03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/decl01.xml
+%% ID: decl01
+%% Type: not-wf
+%% Sections: 4.3.1 [77]
+'decl01'(suite) -> [];
+'decl01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/decl01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/dtd00.xml
+%% ID: nwf-dtd00
+%% Type: not-wf
+%% Sections: 3.2.1 [55]
+'nwf-dtd00'(suite) -> [];
+'nwf-dtd00'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/dtd00.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/dtd01.xml
+%% ID: nwf-dtd01
+%% Type: not-wf
+%% Sections: 3.2.1 [55]
+'nwf-dtd01'(suite) -> [];
+'nwf-dtd01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/dtd01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/dtd02.xml
+%% ID: dtd02
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'dtd02'(suite) -> [];
+'dtd02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/dtd02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/dtd03.xml
+%% ID: dtd03
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'dtd03'(suite) -> [];
+'dtd03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/dtd03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/dtd04.xml
+%% ID: dtd04
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'dtd04'(suite) -> [];
+'dtd04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/dtd04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/dtd05.xml
+%% ID: dtd05
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'dtd05'(suite) -> [];
+'dtd05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/dtd05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/dtd07.xml
+%% ID: dtd07
+%% Type: not-wf
+%% Sections: 4.3.1 [77]
+'dtd07'(suite) -> [];
+'dtd07'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/dtd07.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/element00.xml
+%% ID: element00
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'element00'(suite) -> [];
+'element00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/element00.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/element01.xml
+%% ID: element01
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'element01'(suite) -> [];
+'element01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/element01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/element02.xml
+%% ID: element02
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'element02'(suite) -> [];
+'element02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/element02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/element03.xml
+%% ID: element03
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'element03'(suite) -> [];
+'element03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/element03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/element04.xml
+%% ID: element04
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'element04'(suite) -> [];
+'element04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/element04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/encoding01.xml
+%% ID: encoding01
+%% Type: not-wf
+%% Sections: 4.3.3 [81]
+'encoding01'(suite) -> [];
+'encoding01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/encoding01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/encoding02.xml
+%% ID: encoding02
+%% Type: not-wf
+%% Sections: 4.3.3 [81]
+'encoding02'(suite) -> [];
+'encoding02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/encoding02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/encoding03.xml
+%% ID: encoding03
+%% Type: not-wf
+%% Sections: 4.3.3 [81]
+'encoding03'(suite) -> [];
+'encoding03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/encoding03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/encoding04.xml
+%% ID: encoding04
+%% Type: not-wf
+%% Sections: 4.3.3 [81]
+'encoding04'(suite) -> [];
+'encoding04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/encoding04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/encoding05.xml
+%% ID: encoding05
+%% Type: not-wf
+%% Sections: 4.3.3 [81]
+'encoding05'(suite) -> [];
+'encoding05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/encoding05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/encoding06.xml
+%% ID: encoding06
+%% Type: not-wf
+%% Sections: 4.3.3 [81]
+'encoding06'(suite) -> [];
+'encoding06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/encoding06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/encoding07.xml
+%% ID: encoding07
+%% Type: not-wf
+%% Sections: 4.3.1 [77]
+'encoding07'(suite) -> [];
+'encoding07'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/encoding07.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/pi.xml
+%% ID: pi
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'pi'(suite) -> [];
+'pi'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/pi.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/pubid01.xml
+%% ID: pubid01
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'pubid01'(suite) -> [];
+'pubid01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/pubid01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/pubid02.xml
+%% ID: pubid02
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'pubid02'(suite) -> [];
+'pubid02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/pubid02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/pubid03.xml
+%% ID: pubid03
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'pubid03'(suite) -> [];
+'pubid03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/pubid03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/pubid04.xml
+%% ID: pubid04
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'pubid04'(suite) -> [];
+'pubid04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/pubid04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/pubid05.xml
+%% ID: pubid05
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'pubid05'(suite) -> [];
+'pubid05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/pubid05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml01.xml
+%% ID: sgml01
+%% Type: not-wf
+%% Sections: 3 [39]
+'sgml01'(suite) -> [];
+'sgml01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml02.xml
+%% ID: sgml02
+%% Type: not-wf
+%% Sections: 2.8
+'sgml02'(suite) -> [];
+'sgml02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml03.xml
+%% ID: sgml03
+%% Type: not-wf
+%% Sections: 2.5 [15]
+'sgml03'(suite) -> [];
+'sgml03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml04.xml
+%% ID: sgml04
+%% Type: not-wf
+%% Sections: 3.3 [52]
+'sgml04'(suite) -> [];
+'sgml04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml05.xml
+%% ID: sgml05
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'sgml05'(suite) -> [];
+'sgml05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml06.xml
+%% ID: sgml06
+%% Type: not-wf
+%% Sections: 3.3 [52]
+'sgml06'(suite) -> [];
+'sgml06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml07.xml
+%% ID: sgml07
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'sgml07'(suite) -> [];
+'sgml07'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml07.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml08.xml
+%% ID: sgml08
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'sgml08'(suite) -> [];
+'sgml08'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml08.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml09.xml
+%% ID: sgml09
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'sgml09'(suite) -> [];
+'sgml09'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml09.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml10.xml
+%% ID: sgml10
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'sgml10'(suite) -> [];
+'sgml10'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml10.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml11.xml
+%% ID: sgml11
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'sgml11'(suite) -> [];
+'sgml11'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml11.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml12.xml
+%% ID: sgml12
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'sgml12'(suite) -> [];
+'sgml12'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml12.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/sgml13.xml
+%% ID: sgml13
+%% Type: not-wf
+%% Sections: 3.2.1 [47]
+'sgml13'(suite) -> [];
+'sgml13'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/sgml13.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/uri01.xml
+%% ID: uri01
+%% Type: error
+%% Sections: 4.2.2 [75]
+'uri01'(suite) -> [];
+'uri01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"sun","not-wf/uri01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: Sun Microsystems XML Tests
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p01pass2.xml
+%% ID: o-p01pass2
+%% Type: valid
+%% Sections: 2.2 [1]
+'o-p01pass2'(suite) -> [];
+'o-p01pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p01pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p06pass1.xml
+%% ID: o-p06pass1
+%% Type: valid
+%% Sections: 2.3 [6]
+'o-p06pass1'(suite) -> [];
+'o-p06pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p06pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p07pass1.xml
+%% ID: o-p07pass1
+%% Type: valid
+%% Sections: 2.3 [7]
+'o-p07pass1'(suite) -> [];
+'o-p07pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p07pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p08pass1.xml
+%% ID: o-p08pass1
+%% Type: valid
+%% Sections: 2.3 [8]
+'o-p08pass1'(suite) -> [];
+'o-p08pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p08pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p09pass1.xml
+%% ID: o-p09pass1
+%% Type: valid
+%% Sections: 2.3 [9]
+'o-p09pass1'(suite) -> [];
+'o-p09pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p09pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12pass1.xml
+%% ID: o-p12pass1
+%% Type: valid
+%% Sections: 2.3 [12]
+'o-p12pass1'(suite) -> [];
+'o-p12pass1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p12pass1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22pass4.xml
+%% ID: o-p22pass4
+%% Type: valid
+%% Sections: 2.8 [22]
+'o-p22pass4'(suite) -> [];
+'o-p22pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22pass4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22pass5.xml
+%% ID: o-p22pass5
+%% Type: valid
+%% Sections: 2.8 [22]
+'o-p22pass5'(suite) -> [];
+'o-p22pass5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22pass5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22pass6.xml
+%% ID: o-p22pass6
+%% Type: valid
+%% Sections: 2.8 [22]
+'o-p22pass6'(suite) -> [];
+'o-p22pass6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22pass6.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p28pass1.xml
+%% ID: o-p28pass1
+%% Type: valid
+%% Sections: 3.1 [43] [44]
+'o-p28pass1'(suite) -> [];
+'o-p28pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p28pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p28pass3.xml
+%% ID: o-p28pass3
+%% Type: valid
+%% Sections: 2.8 4.1 [28] [69]
+'o-p28pass3'(suite) -> [];
+'o-p28pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p28pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p28pass4.xml
+%% ID: o-p28pass4
+%% Type: valid
+%% Sections: 2.8 4.2.2 [28] [75]
+'o-p28pass4'(suite) -> [];
+'o-p28pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p28pass4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p28pass5.xml
+%% ID: o-p28pass5
+%% Type: valid
+%% Sections: 2.8 4.1 [28] [69]
+'o-p28pass5'(suite) -> [];
+'o-p28pass5'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p28pass5.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p29pass1.xml
+%% ID: o-p29pass1
+%% Type: valid
+%% Sections: 2.8 [29]
+'o-p29pass1'(suite) -> [];
+'o-p29pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p29pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p30pass1.xml
+%% ID: o-p30pass1
+%% Type: valid
+%% Sections: 2.8 4.2.2 [30] [75]
+'o-p30pass1'(suite) -> [];
+'o-p30pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p30pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p30pass2.xml
+%% ID: o-p30pass2
+%% Type: valid
+%% Sections: 2.8 4.2.2 4.3.1 [30] [75] [77]
+'o-p30pass2'(suite) -> [];
+'o-p30pass2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p30pass2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p31pass1.xml
+%% ID: o-p31pass1
+%% Type: valid
+%% Sections: 2.8 [31]
+'o-p31pass1'(suite) -> [];
+'o-p31pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p31pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p31pass2.xml
+%% ID: o-p31pass2
+%% Type: valid
+%% Sections: 2.8 3.4 4.2.2 [31] [62] [63] [75]
+'o-p31pass2'(suite) -> [];
+'o-p31pass2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p31pass2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p43pass1.xml
+%% ID: o-p43pass1
+%% Type: valid
+%% Sections: 2.4 2.5 2.6 2.7 [15] [16] [18]
+'o-p43pass1'(suite) -> [];
+'o-p43pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p43pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p45pass1.xml
+%% ID: o-p45pass1
+%% Type: valid
+%% Sections: 3.2 [45]
+'o-p45pass1'(suite) -> [];
+'o-p45pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p45pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p46pass1.xml
+%% ID: o-p46pass1
+%% Type: valid
+%% Sections: 3.2 3.2.1 3.2.2 [45] [46] [47] [51]
+'o-p46pass1'(suite) -> [];
+'o-p46pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p46pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p47pass1.xml
+%% ID: o-p47pass1
+%% Type: valid
+%% Sections: 3.2 3.2.1 [45] [46] [47]
+'o-p47pass1'(suite) -> [];
+'o-p47pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p47pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p48pass1.xml
+%% ID: o-p48pass1
+%% Type: valid
+%% Sections: 3.2 3.2.1 [45] [46] [47]
+'o-p48pass1'(suite) -> [];
+'o-p48pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p48pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p49pass1.xml
+%% ID: o-p49pass1
+%% Type: valid
+%% Sections: 3.2 3.2.1 [45] [46] [47]
+'o-p49pass1'(suite) -> [];
+'o-p49pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p49pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p50pass1.xml
+%% ID: o-p50pass1
+%% Type: valid
+%% Sections: 3.2 3.2.1 [45] [46] [47]
+'o-p50pass1'(suite) -> [];
+'o-p50pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p50pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51pass1.xml
+%% ID: o-p51pass1
+%% Type: valid
+%% Sections: 3.2.2 [51]
+'o-p51pass1'(suite) -> [];
+'o-p51pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p51pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p52pass1.xml
+%% ID: o-p52pass1
+%% Type: valid
+%% Sections: 3.3 [52]
+'o-p52pass1'(suite) -> [];
+'o-p52pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p52pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p53pass1.xml
+%% ID: o-p53pass1
+%% Type: valid
+%% Sections: 3.3 [53]
+'o-p53pass1'(suite) -> [];
+'o-p53pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p53pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p54pass1.xml
+%% ID: o-p54pass1
+%% Type: valid
+%% Sections: 3.3.1 [54]
+'o-p54pass1'(suite) -> [];
+'o-p54pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p54pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p55pass1.xml
+%% ID: o-p55pass1
+%% Type: valid
+%% Sections: 3.3.1 [55]
+'o-p55pass1'(suite) -> [];
+'o-p55pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p55pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p56pass1.xml
+%% ID: o-p56pass1
+%% Type: valid
+%% Sections: 3.3.1 [56]
+'o-p56pass1'(suite) -> [];
+'o-p56pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p56pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p57pass1.xml
+%% ID: o-p57pass1
+%% Type: valid
+%% Sections: 3.3.1 [57]
+'o-p57pass1'(suite) -> [];
+'o-p57pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p57pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58pass1.xml
+%% ID: o-p58pass1
+%% Type: valid
+%% Sections: 3.3.1 [58]
+'o-p58pass1'(suite) -> [];
+'o-p58pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p58pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p59pass1.xml
+%% ID: o-p59pass1
+%% Type: valid
+%% Sections: 3.3.1 [59]
+'o-p59pass1'(suite) -> [];
+'o-p59pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p59pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p60pass1.xml
+%% ID: o-p60pass1
+%% Type: valid
+%% Sections: 3.3.2 [60]
+'o-p60pass1'(suite) -> [];
+'o-p60pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p60pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p61pass1.xml
+%% ID: o-p61pass1
+%% Type: valid
+%% Sections: 3.4 [61]
+'o-p61pass1'(suite) -> [];
+'o-p61pass1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p61pass1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p62pass1.xml
+%% ID: o-p62pass1
+%% Type: valid
+%% Sections: 3.4 [62]
+'o-p62pass1'(suite) -> [];
+'o-p62pass1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p62pass1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p63pass1.xml
+%% ID: o-p63pass1
+%% Type: valid
+%% Sections: 3.4 [63]
+'o-p63pass1'(suite) -> [];
+'o-p63pass1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p63pass1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p64pass1.xml
+%% ID: o-p64pass1
+%% Type: valid
+%% Sections: 3.4 [64]
+'o-p64pass1'(suite) -> [];
+'o-p64pass1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p64pass1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p68pass1.xml
+%% ID: o-p68pass1
+%% Type: valid
+%% Sections: 4.1 [68]
+'o-p68pass1'(suite) -> [];
+'o-p68pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p68pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p69pass1.xml
+%% ID: o-p69pass1
+%% Type: valid
+%% Sections: 4.1 [69]
+'o-p69pass1'(suite) -> [];
+'o-p69pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p69pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p70pass1.xml
+%% ID: o-p70pass1
+%% Type: valid
+%% Sections: 4.2 [70]
+'o-p70pass1'(suite) -> [];
+'o-p70pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p70pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p71pass1.xml
+%% ID: o-p71pass1
+%% Type: valid
+%% Sections: 4.2 [71]
+'o-p71pass1'(suite) -> [];
+'o-p71pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p71pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p72pass1.xml
+%% ID: o-p72pass1
+%% Type: valid
+%% Sections: 4.2 [72]
+'o-p72pass1'(suite) -> [];
+'o-p72pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p72pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p73pass1.xml
+%% ID: o-p73pass1
+%% Type: valid
+%% Sections: 4.2 [73]
+'o-p73pass1'(suite) -> [];
+'o-p73pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p73pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p76pass1.xml
+%% ID: o-p76pass1
+%% Type: valid
+%% Sections: 4.2.2 [76]
+'o-p76pass1'(suite) -> [];
+'o-p76pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p76pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p01pass1.xml
+%% ID: o-p01pass1
+%% Type: invalid
+%% Sections: 2.1 [1]
+'o-p01pass1'(suite) -> [];
+'o-p01pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p01pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p01pass3.xml
+%% ID: o-p01pass3
+%% Type: invalid
+%% Sections: 2.1 [1]
+'o-p01pass3'(suite) -> [];
+'o-p01pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p01pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03pass1.xml
+%% ID: o-p03pass1
+%% Type: invalid
+%% Sections: 2.3 [3]
+'o-p03pass1'(suite) -> [];
+'o-p03pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p04pass1.xml
+%% ID: o-p04pass1
+%% Type: invalid
+%% Sections: 2.3 [4]
+'o-p04pass1'(suite) -> [];
+'o-p04pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p04pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p05pass1.xml
+%% ID: o-p05pass1
+%% Type: invalid
+%% Sections: 2.3 [5]
+'o-p05pass1'(suite) -> [];
+'o-p05pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p05pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p06fail1.xml
+%% ID: o-p06fail1
+%% Type: invalid
+%% Sections: 2.3 [6]
+'o-p06fail1'(suite) -> [];
+'o-p06fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p06fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p08fail1.xml
+%% ID: o-p08fail1
+%% Type: invalid
+%% Sections: 2.3 [8]
+'o-p08fail1'(suite) -> [];
+'o-p08fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p08fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p08fail2.xml
+%% ID: o-p08fail2
+%% Type: invalid
+%% Sections: 2.3 [8]
+'o-p08fail2'(suite) -> [];
+'o-p08fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p08fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p10pass1.xml
+%% ID: o-p10pass1
+%% Type: invalid
+%% Sections: 2.3 [10]
+'o-p10pass1'(suite) -> [];
+'o-p10pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p10pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p14pass1.xml
+%% ID: o-p14pass1
+%% Type: invalid
+%% Sections: 2.4 [14]
+'o-p14pass1'(suite) -> [];
+'o-p14pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p14pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p15pass1.xml
+%% ID: o-p15pass1
+%% Type: invalid
+%% Sections: 2.5 [15]
+'o-p15pass1'(suite) -> [];
+'o-p15pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p15pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p16pass1.xml
+%% ID: o-p16pass1
+%% Type: invalid
+%% Sections: 2.6 [16] [17]
+'o-p16pass1'(suite) -> [];
+'o-p16pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p16pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p16pass2.xml
+%% ID: o-p16pass2
+%% Type: invalid
+%% Sections: 2.6 [16]
+'o-p16pass2'(suite) -> [];
+'o-p16pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p16pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p16pass3.xml
+%% ID: o-p16pass3
+%% Type: invalid
+%% Sections: 2.6 [16]
+'o-p16pass3'(suite) -> [];
+'o-p16pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p16pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p18pass1.xml
+%% ID: o-p18pass1
+%% Type: invalid
+%% Sections: 2.7 [18]
+'o-p18pass1'(suite) -> [];
+'o-p18pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p18pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22pass1.xml
+%% ID: o-p22pass1
+%% Type: invalid
+%% Sections: 2.8 [22]
+'o-p22pass1'(suite) -> [];
+'o-p22pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22pass2.xml
+%% ID: o-p22pass2
+%% Type: invalid
+%% Sections: 2.8 [22]
+'o-p22pass2'(suite) -> [];
+'o-p22pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22pass3.xml
+%% ID: o-p22pass3
+%% Type: invalid
+%% Sections: 2.8 [22]
+'o-p22pass3'(suite) -> [];
+'o-p22pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23pass1.xml
+%% ID: o-p23pass1
+%% Type: invalid
+%% Sections: 2.8 [23]
+'o-p23pass1'(suite) -> [];
+'o-p23pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23pass2.xml
+%% ID: o-p23pass2
+%% Type: invalid
+%% Sections: 2.8 [23]
+'o-p23pass2'(suite) -> [];
+'o-p23pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23pass3.xml
+%% ID: o-p23pass3
+%% Type: invalid
+%% Sections: 2.8 [23]
+'o-p23pass3'(suite) -> [];
+'o-p23pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23pass4.xml
+%% ID: o-p23pass4
+%% Type: invalid
+%% Sections: 2.8 [23]
+'o-p23pass4'(suite) -> [];
+'o-p23pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23pass4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p24pass1.xml
+%% ID: o-p24pass1
+%% Type: invalid
+%% Sections: 2.8 [24]
+'o-p24pass1'(suite) -> [];
+'o-p24pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p24pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p24pass2.xml
+%% ID: o-p24pass2
+%% Type: invalid
+%% Sections: 2.8 [24]
+'o-p24pass2'(suite) -> [];
+'o-p24pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p24pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p24pass3.xml
+%% ID: o-p24pass3
+%% Type: invalid
+%% Sections: 2.8 [24]
+'o-p24pass3'(suite) -> [];
+'o-p24pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p24pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p24pass4.xml
+%% ID: o-p24pass4
+%% Type: invalid
+%% Sections: 2.8 [24]
+'o-p24pass4'(suite) -> [];
+'o-p24pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p24pass4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p25pass1.xml
+%% ID: o-p25pass1
+%% Type: invalid
+%% Sections: 2.8 [25]
+'o-p25pass1'(suite) -> [];
+'o-p25pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p25pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p25pass2.xml
+%% ID: o-p25pass2
+%% Type: invalid
+%% Sections: 2.8 [25]
+'o-p25pass2'(suite) -> [];
+'o-p25pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p25pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p26pass1.xml
+%% ID: o-p26pass1
+%% Type: invalid
+%% Sections: 2.8 [26]
+'o-p26pass1'(suite) -> [];
+'o-p26pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p26pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p27pass1.xml
+%% ID: o-p27pass1
+%% Type: invalid
+%% Sections: 2.8 [27]
+'o-p27pass1'(suite) -> [];
+'o-p27pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p27pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p27pass2.xml
+%% ID: o-p27pass2
+%% Type: invalid
+%% Sections: 2.8 [27]
+'o-p27pass2'(suite) -> [];
+'o-p27pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p27pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p27pass3.xml
+%% ID: o-p27pass3
+%% Type: invalid
+%% Sections: 2.8 [27]
+'o-p27pass3'(suite) -> [];
+'o-p27pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p27pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p27pass4.xml
+%% ID: o-p27pass4
+%% Type: invalid
+%% Sections: 2.8 [27]
+'o-p27pass4'(suite) -> [];
+'o-p27pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p27pass4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p32pass1.xml
+%% ID: o-p32pass1
+%% Type: invalid
+%% Sections: 2.9 [32]
+'o-p32pass1'(suite) -> [];
+'o-p32pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p32pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p32pass2.xml
+%% ID: o-p32pass2
+%% Type: invalid
+%% Sections: 2.9 [32]
+'o-p32pass2'(suite) -> [];
+'o-p32pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p32pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p39pass1.xml
+%% ID: o-p39pass1
+%% Type: invalid
+%% Sections: 3 3.1 [39] [44]
+'o-p39pass1'(suite) -> [];
+'o-p39pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p39pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p39pass2.xml
+%% ID: o-p39pass2
+%% Type: invalid
+%% Sections: 3 3.1 [39] [43]
+'o-p39pass2'(suite) -> [];
+'o-p39pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p39pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40pass1.xml
+%% ID: o-p40pass1
+%% Type: invalid
+%% Sections: 3.1 [40]
+'o-p40pass1'(suite) -> [];
+'o-p40pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p40pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40pass2.xml
+%% ID: o-p40pass2
+%% Type: invalid
+%% Sections: 3.1 [40]
+'o-p40pass2'(suite) -> [];
+'o-p40pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p40pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40pass3.xml
+%% ID: o-p40pass3
+%% Type: invalid
+%% Sections: 3.1 [40] [41]
+'o-p40pass3'(suite) -> [];
+'o-p40pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p40pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40pass4.xml
+%% ID: o-p40pass4
+%% Type: invalid
+%% Sections: 3.1 [40]
+'o-p40pass4'(suite) -> [];
+'o-p40pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p40pass4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p41pass1.xml
+%% ID: o-p41pass1
+%% Type: invalid
+%% Sections: 3.1 [41]
+'o-p41pass1'(suite) -> [];
+'o-p41pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p41pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p41pass2.xml
+%% ID: o-p41pass2
+%% Type: invalid
+%% Sections: 3.1 [41]
+'o-p41pass2'(suite) -> [];
+'o-p41pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p41pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p42pass1.xml
+%% ID: o-p42pass1
+%% Type: invalid
+%% Sections: 3.1 [42]
+'o-p42pass1'(suite) -> [];
+'o-p42pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p42pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p42pass2.xml
+%% ID: o-p42pass2
+%% Type: invalid
+%% Sections: 3.1 [42]
+'o-p42pass2'(suite) -> [];
+'o-p42pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p42pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44pass1.xml
+%% ID: o-p44pass1
+%% Type: invalid
+%% Sections: 3.1 [44]
+'o-p44pass1'(suite) -> [];
+'o-p44pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44pass2.xml
+%% ID: o-p44pass2
+%% Type: invalid
+%% Sections: 3.1 [44]
+'o-p44pass2'(suite) -> [];
+'o-p44pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44pass2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44pass3.xml
+%% ID: o-p44pass3
+%% Type: invalid
+%% Sections: 3.1 [44]
+'o-p44pass3'(suite) -> [];
+'o-p44pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44pass3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44pass4.xml
+%% ID: o-p44pass4
+%% Type: invalid
+%% Sections: 3.1 [44]
+'o-p44pass4'(suite) -> [];
+'o-p44pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44pass4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44pass5.xml
+%% ID: o-p44pass5
+%% Type: invalid
+%% Sections: 3.1 [44]
+'o-p44pass5'(suite) -> [];
+'o-p44pass5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44pass5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p66pass1.xml
+%% ID: o-p66pass1
+%% Type: invalid
+%% Sections: 4.1 [66]
+'o-p66pass1'(suite) -> [];
+'o-p66pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p66pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p74pass1.xml
+%% ID: o-p74pass1
+%% Type: invalid
+%% Sections: 4.2 [74]
+'o-p74pass1'(suite) -> [];
+'o-p74pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p74pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p75pass1.xml
+%% ID: o-p75pass1
+%% Type: invalid
+%% Sections: 4.2.2 [75]
+'o-p75pass1'(suite) -> [];
+'o-p75pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p75pass1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: e2.xml
+%% ID: o-e2
+%% Type: invalid
+%% Sections: 3.3.1 [58] [59] Errata [E2]
+'o-e2'(suite) -> [];
+'o-e2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","e2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p01fail1.xml
+%% ID: o-p01fail1
+%% Type: not-wf
+%% Sections: 2.1 [1]
+'o-p01fail1'(suite) -> [];
+'o-p01fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p01fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p01fail2.xml
+%% ID: o-p01fail2
+%% Type: not-wf
+%% Sections: 2.1 [1]
+'o-p01fail2'(suite) -> [];
+'o-p01fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p01fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p01fail3.xml
+%% ID: o-p01fail3
+%% Type: not-wf
+%% Sections: 2.1 [1]
+'o-p01fail3'(suite) -> [];
+'o-p01fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p01fail3.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_, <<"<bad/>", _/binary>>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p01fail4.xml
+%% ID: o-p01fail4
+%% Type: not-wf
+%% Sections: 2.1 [1]
+'o-p01fail4'(suite) -> [];
+'o-p01fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p01fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail1.xml
+%% ID: o-p02fail1
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail1'(suite) -> [];
+'o-p02fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail10.xml
+%% ID: o-p02fail10
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail10'(suite) -> [];
+'o-p02fail10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail11.xml
+%% ID: o-p02fail11
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail11'(suite) -> [];
+'o-p02fail11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail12.xml
+%% ID: o-p02fail12
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail12'(suite) -> [];
+'o-p02fail12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail13.xml
+%% ID: o-p02fail13
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail13'(suite) -> [];
+'o-p02fail13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail14.xml
+%% ID: o-p02fail14
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail14'(suite) -> [];
+'o-p02fail14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail15.xml
+%% ID: o-p02fail15
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail15'(suite) -> [];
+'o-p02fail15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail16.xml
+%% ID: o-p02fail16
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail16'(suite) -> [];
+'o-p02fail16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail17.xml
+%% ID: o-p02fail17
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail17'(suite) -> [];
+'o-p02fail17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail17.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail18.xml
+%% ID: o-p02fail18
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail18'(suite) -> [];
+'o-p02fail18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail18.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail19.xml
+%% ID: o-p02fail19
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail19'(suite) -> [];
+'o-p02fail19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail19.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail2.xml
+%% ID: o-p02fail2
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail2'(suite) -> [];
+'o-p02fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail20.xml
+%% ID: o-p02fail20
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail20'(suite) -> [];
+'o-p02fail20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail20.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail21.xml
+%% ID: o-p02fail21
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail21'(suite) -> [];
+'o-p02fail21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail21.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail22.xml
+%% ID: o-p02fail22
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail22'(suite) -> [];
+'o-p02fail22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail22.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail23.xml
+%% ID: o-p02fail23
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail23'(suite) -> [];
+'o-p02fail23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail23.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail24.xml
+%% ID: o-p02fail24
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail24'(suite) -> [];
+'o-p02fail24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail24.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail25.xml
+%% ID: o-p02fail25
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail25'(suite) -> [];
+'o-p02fail25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail25.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail26.xml
+%% ID: o-p02fail26
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail26'(suite) -> [];
+'o-p02fail26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail26.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail27.xml
+%% ID: o-p02fail27
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail27'(suite) -> [];
+'o-p02fail27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail27.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail28.xml
+%% ID: o-p02fail28
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail28'(suite) -> [];
+'o-p02fail28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail28.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail29.xml
+%% ID: o-p02fail29
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail29'(suite) -> [];
+'o-p02fail29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail29.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail3.xml
+%% ID: o-p02fail3
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail3'(suite) -> [];
+'o-p02fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail30.xml
+%% ID: o-p02fail30
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail30'(suite) -> [];
+'o-p02fail30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail30.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail31.xml
+%% ID: o-p02fail31
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail31'(suite) -> [];
+'o-p02fail31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail31.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail4.xml
+%% ID: o-p02fail4
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail4'(suite) -> [];
+'o-p02fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail5.xml
+%% ID: o-p02fail5
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail5'(suite) -> [];
+'o-p02fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail6.xml
+%% ID: o-p02fail6
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail6'(suite) -> [];
+'o-p02fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail6.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail7.xml
+%% ID: o-p02fail7
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail7'(suite) -> [];
+'o-p02fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail7.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail8.xml
+%% ID: o-p02fail8
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail8'(suite) -> [];
+'o-p02fail8'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail8.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p02fail9.xml
+%% ID: o-p02fail9
+%% Type: not-wf
+%% Sections: 2.2 [2]
+'o-p02fail9'(suite) -> [];
+'o-p02fail9'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p02fail9.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail1.xml
+%% ID: o-p03fail1
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail1'(suite) -> [];
+'o-p03fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail10.xml
+%% ID: o-p03fail10
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail10'(suite) -> [];
+'o-p03fail10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail11.xml
+%% ID: o-p03fail11
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail11'(suite) -> [];
+'o-p03fail11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail12.xml
+%% ID: o-p03fail12
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail12'(suite) -> [];
+'o-p03fail12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail13.xml
+%% ID: o-p03fail13
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail13'(suite) -> [];
+'o-p03fail13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail14.xml
+%% ID: o-p03fail14
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail14'(suite) -> [];
+'o-p03fail14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail15.xml
+%% ID: o-p03fail15
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail15'(suite) -> [];
+'o-p03fail15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail16.xml
+%% ID: o-p03fail16
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail16'(suite) -> [];
+'o-p03fail16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail17.xml
+%% ID: o-p03fail17
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail17'(suite) -> [];
+'o-p03fail17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail17.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail18.xml
+%% ID: o-p03fail18
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail18'(suite) -> [];
+'o-p03fail18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail18.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail19.xml
+%% ID: o-p03fail19
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail19'(suite) -> [];
+'o-p03fail19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail19.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail2.xml
+%% ID: o-p03fail2
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail2'(suite) -> [];
+'o-p03fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail20.xml
+%% ID: o-p03fail20
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail20'(suite) -> [];
+'o-p03fail20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail20.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail21.xml
+%% ID: o-p03fail21
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail21'(suite) -> [];
+'o-p03fail21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail21.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail22.xml
+%% ID: o-p03fail22
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail22'(suite) -> [];
+'o-p03fail22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail22.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail23.xml
+%% ID: o-p03fail23
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail23'(suite) -> [];
+'o-p03fail23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail23.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail24.xml
+%% ID: o-p03fail24
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail24'(suite) -> [];
+'o-p03fail24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail24.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail25.xml
+%% ID: o-p03fail25
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail25'(suite) -> [];
+'o-p03fail25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail25.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail26.xml
+%% ID: o-p03fail26
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail26'(suite) -> [];
+'o-p03fail26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail26.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail27.xml
+%% ID: o-p03fail27
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail27'(suite) -> [];
+'o-p03fail27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail27.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail28.xml
+%% ID: o-p03fail28
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail28'(suite) -> [];
+'o-p03fail28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail28.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail29.xml
+%% ID: o-p03fail29
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail29'(suite) -> [];
+'o-p03fail29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail29.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail3.xml
+%% ID: o-p03fail3
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail3'(suite) -> [];
+'o-p03fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail4.xml
+%% ID: o-p03fail4
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail4'(suite) -> [];
+'o-p03fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail5.xml
+%% ID: o-p03fail5
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail5'(suite) -> [];
+'o-p03fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail7.xml
+%% ID: o-p03fail7
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail7'(suite) -> [];
+'o-p03fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail7.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail8.xml
+%% ID: o-p03fail8
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail8'(suite) -> [];
+'o-p03fail8'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail8.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p03fail9.xml
+%% ID: o-p03fail9
+%% Type: not-wf
+%% Sections: 2.3 [3]
+'o-p03fail9'(suite) -> [];
+'o-p03fail9'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p03fail9.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p04fail1.xml
+%% ID: o-p04fail1
+%% Type: not-wf
+%% Sections: 2.3 [4]
+'o-p04fail1'(suite) -> [];
+'o-p04fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p04fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p04fail2.xml
+%% ID: o-p04fail2
+%% Type: not-wf
+%% Sections: 2.3 [4]
+'o-p04fail2'(suite) -> [];
+'o-p04fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p04fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p04fail3.xml
+%% ID: o-p04fail3
+%% Type: not-wf
+%% Sections: 2.3 [4]
+'o-p04fail3'(suite) -> [];
+'o-p04fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p04fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p05fail1.xml
+%% ID: o-p05fail1
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'o-p05fail1'(suite) -> [];
+'o-p05fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p05fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p05fail2.xml
+%% ID: o-p05fail2
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'o-p05fail2'(suite) -> [];
+'o-p05fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p05fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p05fail3.xml
+%% ID: o-p05fail3
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'o-p05fail3'(suite) -> [];
+'o-p05fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p05fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p05fail4.xml
+%% ID: o-p05fail4
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'o-p05fail4'(suite) -> [];
+'o-p05fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p05fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p05fail5.xml
+%% ID: o-p05fail5
+%% Type: not-wf
+%% Sections: 2.3 [5]
+'o-p05fail5'(suite) -> [];
+'o-p05fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p05fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p09fail1.xml
+%% ID: o-p09fail1
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'o-p09fail1'(suite) -> [];
+'o-p09fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p09fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p09fail2.xml
+%% ID: o-p09fail2
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'o-p09fail2'(suite) -> [];
+'o-p09fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p09fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p09fail3.xml
+%% ID: o-p09fail3
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'o-p09fail3'(suite) -> [];
+'o-p09fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p09fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p09fail4.xml
+%% ID: o-p09fail4
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'o-p09fail4'(suite) -> [];
+'o-p09fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p09fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p09fail5.xml
+%% ID: o-p09fail5
+%% Type: not-wf
+%% Sections: 2.3 [9]
+'o-p09fail5'(suite) -> [];
+'o-p09fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p09fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p10fail1.xml
+%% ID: o-p10fail1
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'o-p10fail1'(suite) -> [];
+'o-p10fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p10fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p10fail2.xml
+%% ID: o-p10fail2
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'o-p10fail2'(suite) -> [];
+'o-p10fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p10fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p10fail3.xml
+%% ID: o-p10fail3
+%% Type: not-wf
+%% Sections: 2.3 [10]
+'o-p10fail3'(suite) -> [];
+'o-p10fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p10fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p11fail1.xml
+%% ID: o-p11fail1
+%% Type: not-wf
+%% Sections: 2.3 [11]
+'o-p11fail1'(suite) -> [];
+'o-p11fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p11fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p11fail2.xml
+%% ID: o-p11fail2
+%% Type: not-wf
+%% Sections: 2.3 [11]
+'o-p11fail2'(suite) -> [];
+'o-p11fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p11fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12fail1.xml
+%% ID: o-p12fail1
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'o-p12fail1'(suite) -> [];
+'o-p12fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p12fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12fail2.xml
+%% ID: o-p12fail2
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'o-p12fail2'(suite) -> [];
+'o-p12fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p12fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12fail3.xml
+%% ID: o-p12fail3
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'o-p12fail3'(suite) -> [];
+'o-p12fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p12fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12fail4.xml
+%% ID: o-p12fail4
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'o-p12fail4'(suite) -> [];
+'o-p12fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p12fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12fail5.xml
+%% ID: o-p12fail5
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'o-p12fail5'(suite) -> [];
+'o-p12fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p12fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12fail6.xml
+%% ID: o-p12fail6
+%% Type: not-wf
+%% Sections: 2.3 [12]
+'o-p12fail6'(suite) -> [];
+'o-p12fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p12fail6.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p12fail7.xml
+%% ID: o-p12fail7
+%% Type: not-wf
+%% Sections: 2.3 [13]
+'o-p12fail7'(suite) -> [];
+'o-p12fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p12fail7.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p14fail1.xml
+%% ID: o-p14fail1
+%% Type: not-wf
+%% Sections: 2.4 [14]
+'o-p14fail1'(suite) -> [];
+'o-p14fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p14fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p14fail2.xml
+%% ID: o-p14fail2
+%% Type: not-wf
+%% Sections: 2.4 [14]
+'o-p14fail2'(suite) -> [];
+'o-p14fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p14fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p14fail3.xml
+%% ID: o-p14fail3
+%% Type: not-wf
+%% Sections: 2.4 [14]
+'o-p14fail3'(suite) -> [];
+'o-p14fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p14fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p15fail1.xml
+%% ID: o-p15fail1
+%% Type: not-wf
+%% Sections: 2.5 [15]
+'o-p15fail1'(suite) -> [];
+'o-p15fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p15fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p15fail2.xml
+%% ID: o-p15fail2
+%% Type: not-wf
+%% Sections: 2.5 [15]
+'o-p15fail2'(suite) -> [];
+'o-p15fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p15fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p15fail3.xml
+%% ID: o-p15fail3
+%% Type: not-wf
+%% Sections: 2.5 [15]
+'o-p15fail3'(suite) -> [];
+'o-p15fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p15fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p16fail1.xml
+%% ID: o-p16fail1
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'o-p16fail1'(suite) -> [];
+'o-p16fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p16fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p16fail2.xml
+%% ID: o-p16fail2
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'o-p16fail2'(suite) -> [];
+'o-p16fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p16fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p16fail3.xml
+%% ID: o-p16fail3
+%% Type: not-wf
+%% Sections: 2.6 [16]
+'o-p16fail3'(suite) -> [];
+'o-p16fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p16fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p18fail1.xml
+%% ID: o-p18fail1
+%% Type: not-wf
+%% Sections: 2.7 [18]
+'o-p18fail1'(suite) -> [];
+'o-p18fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p18fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p18fail2.xml
+%% ID: o-p18fail2
+%% Type: not-wf
+%% Sections: 2.7 [18]
+'o-p18fail2'(suite) -> [];
+'o-p18fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p18fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p18fail3.xml
+%% ID: o-p18fail3
+%% Type: not-wf
+%% Sections: 2.7 [18]
+'o-p18fail3'(suite) -> [];
+'o-p18fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p18fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22fail1.xml
+%% ID: o-p22fail1
+%% Type: not-wf
+%% Sections: 2.8 [22]
+'o-p22fail1'(suite) -> [];
+'o-p22fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p22fail2.xml
+%% ID: o-p22fail2
+%% Type: not-wf
+%% Sections: 2.8 [22]
+'o-p22fail2'(suite) -> [];
+'o-p22fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p22fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23fail1.xml
+%% ID: o-p23fail1
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'o-p23fail1'(suite) -> [];
+'o-p23fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23fail2.xml
+%% ID: o-p23fail2
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'o-p23fail2'(suite) -> [];
+'o-p23fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23fail3.xml
+%% ID: o-p23fail3
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'o-p23fail3'(suite) -> [];
+'o-p23fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23fail4.xml
+%% ID: o-p23fail4
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'o-p23fail4'(suite) -> [];
+'o-p23fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p23fail5.xml
+%% ID: o-p23fail5
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'o-p23fail5'(suite) -> [];
+'o-p23fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p23fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p24fail1.xml
+%% ID: o-p24fail1
+%% Type: not-wf
+%% Sections: 2.8 [24]
+'o-p24fail1'(suite) -> [];
+'o-p24fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p24fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p24fail2.xml
+%% ID: o-p24fail2
+%% Type: not-wf
+%% Sections: 2.8 [24]
+'o-p24fail2'(suite) -> [];
+'o-p24fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p24fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p25fail1.xml
+%% ID: o-p25fail1
+%% Type: not-wf
+%% Sections: 2.8 [25]
+'o-p25fail1'(suite) -> [];
+'o-p25fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p25fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p26fail1.xml
+%% ID: o-p26fail1
+%% Type: not-wf
+%% Sections: 2.8 [26]
+'o-p26fail1'(suite) -> [];
+'o-p26fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p26fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p26fail2.xml
+%% ID: o-p26fail2
+%% Type: not-wf
+%% Sections: 2.8 [26]
+'o-p26fail2'(suite) -> [];
+'o-p26fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p26fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p27fail1.xml
+%% ID: o-p27fail1
+%% Type: not-wf
+%% Sections: 2.8 [27]
+'o-p27fail1'(suite) -> [];
+'o-p27fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p27fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p28fail1.xml
+%% ID: o-p28fail1
+%% Type: not-wf
+%% Sections: 2.8 [28]
+'o-p28fail1'(suite) -> [];
+'o-p28fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p28fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p29fail1.xml
+%% ID: o-p29fail1
+%% Type: not-wf
+%% Sections: 2.8 [29]
+'o-p29fail1'(suite) -> [];
+'o-p29fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p29fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p30fail1.xml
+%% ID: o-p30fail1
+%% Type: not-wf
+%% Sections: 2.8 [30]
+'o-p30fail1'(suite) -> [];
+'o-p30fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p30fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p31fail1.xml
+%% ID: o-p31fail1
+%% Type: not-wf
+%% Sections: 2.8 [31]
+'o-p31fail1'(suite) -> [];
+'o-p31fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p31fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p32fail1.xml
+%% ID: o-p32fail1
+%% Type: not-wf
+%% Sections: 2.9 [32]
+'o-p32fail1'(suite) -> [];
+'o-p32fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p32fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p32fail2.xml
+%% ID: o-p32fail2
+%% Type: not-wf
+%% Sections: 2.9 [32]
+'o-p32fail2'(suite) -> [];
+'o-p32fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p32fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p32fail3.xml
+%% ID: o-p32fail3
+%% Type: not-wf
+%% Sections: 2.9 [32]
+'o-p32fail3'(suite) -> [];
+'o-p32fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p32fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p32fail4.xml
+%% ID: o-p32fail4
+%% Type: not-wf
+%% Sections: 2.9 [32]
+'o-p32fail4'(suite) -> [];
+'o-p32fail4'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p32fail4.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p32fail5.xml
+%% ID: o-p32fail5
+%% Type: not-wf
+%% Sections: 2.9 [32]
+'o-p32fail5'(suite) -> [];
+'o-p32fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p32fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p39fail1.xml
+%% ID: o-p39fail1
+%% Type: not-wf
+%% Sections: 3 [39]
+'o-p39fail1'(suite) -> [];
+'o-p39fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p39fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p39fail2.xml
+%% ID: o-p39fail2
+%% Type: not-wf
+%% Sections: 3 [39]
+'o-p39fail2'(suite) -> [];
+'o-p39fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p39fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p39fail3.xml
+%% ID: o-p39fail3
+%% Type: not-wf
+%% Sections: 3 [39]
+'o-p39fail3'(suite) -> [];
+'o-p39fail3'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p39fail3.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p39fail4.xml
+%% ID: o-p39fail4
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'o-p39fail4'(suite) -> [];
+'o-p39fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p39fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p39fail5.xml
+%% ID: o-p39fail5
+%% Type: not-wf
+%% Sections: 2.8 [23]
+'o-p39fail5'(suite) -> [];
+'o-p39fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p39fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40fail1.xml
+%% ID: o-p40fail1
+%% Type: not-wf
+%% Sections: 3.1 [40]
+'o-p40fail1'(suite) -> [];
+'o-p40fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p40fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40fail2.xml
+%% ID: o-p40fail2
+%% Type: not-wf
+%% Sections: 3.1 [40]
+'o-p40fail2'(suite) -> [];
+'o-p40fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p40fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40fail3.xml
+%% ID: o-p40fail3
+%% Type: not-wf
+%% Sections: 3.1 [40]
+'o-p40fail3'(suite) -> [];
+'o-p40fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p40fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p40fail4.xml
+%% ID: o-p40fail4
+%% Type: not-wf
+%% Sections: 3.1 [40]
+'o-p40fail4'(suite) -> [];
+'o-p40fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p40fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p41fail1.xml
+%% ID: o-p41fail1
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'o-p41fail1'(suite) -> [];
+'o-p41fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p41fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p41fail2.xml
+%% ID: o-p41fail2
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'o-p41fail2'(suite) -> [];
+'o-p41fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p41fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p41fail3.xml
+%% ID: o-p41fail3
+%% Type: not-wf
+%% Sections: 3.1 [41]
+'o-p41fail3'(suite) -> [];
+'o-p41fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p41fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p42fail1.xml
+%% ID: o-p42fail1
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'o-p42fail1'(suite) -> [];
+'o-p42fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p42fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p42fail2.xml
+%% ID: o-p42fail2
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'o-p42fail2'(suite) -> [];
+'o-p42fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p42fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p42fail3.xml
+%% ID: o-p42fail3
+%% Type: not-wf
+%% Sections: 3.1 [42]
+'o-p42fail3'(suite) -> [];
+'o-p42fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p42fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p43fail1.xml
+%% ID: o-p43fail1
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'o-p43fail1'(suite) -> [];
+'o-p43fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p43fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p43fail2.xml
+%% ID: o-p43fail2
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'o-p43fail2'(suite) -> [];
+'o-p43fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p43fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p43fail3.xml
+%% ID: o-p43fail3
+%% Type: not-wf
+%% Sections: 3.1 [43]
+'o-p43fail3'(suite) -> [];
+'o-p43fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p43fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44fail1.xml
+%% ID: o-p44fail1
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'o-p44fail1'(suite) -> [];
+'o-p44fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44fail2.xml
+%% ID: o-p44fail2
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'o-p44fail2'(suite) -> [];
+'o-p44fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44fail3.xml
+%% ID: o-p44fail3
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'o-p44fail3'(suite) -> [];
+'o-p44fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44fail4.xml
+%% ID: o-p44fail4
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'o-p44fail4'(suite) -> [];
+'o-p44fail4'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p44fail4.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p44fail5.xml
+%% ID: o-p44fail5
+%% Type: not-wf
+%% Sections: 3.1 [44]
+'o-p44fail5'(suite) -> [];
+'o-p44fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p44fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p45fail1.xml
+%% ID: o-p45fail1
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'o-p45fail1'(suite) -> [];
+'o-p45fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p45fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p45fail2.xml
+%% ID: o-p45fail2
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'o-p45fail2'(suite) -> [];
+'o-p45fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p45fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p45fail3.xml
+%% ID: o-p45fail3
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'o-p45fail3'(suite) -> [];
+'o-p45fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p45fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p45fail4.xml
+%% ID: o-p45fail4
+%% Type: not-wf
+%% Sections: 3.2 [45]
+'o-p45fail4'(suite) -> [];
+'o-p45fail4'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p45fail4.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p46fail1.xml
+%% ID: o-p46fail1
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'o-p46fail1'(suite) -> [];
+'o-p46fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p46fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p46fail2.xml
+%% ID: o-p46fail2
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'o-p46fail2'(suite) -> [];
+'o-p46fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p46fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p46fail3.xml
+%% ID: o-p46fail3
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'o-p46fail3'(suite) -> [];
+'o-p46fail3'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p46fail3.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p46fail4.xml
+%% ID: o-p46fail4
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'o-p46fail4'(suite) -> [];
+'o-p46fail4'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p46fail4.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p46fail5.xml
+%% ID: o-p46fail5
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'o-p46fail5'(suite) -> [];
+'o-p46fail5'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p46fail5.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p46fail6.xml
+%% ID: o-p46fail6
+%% Type: not-wf
+%% Sections: 3.2 [46]
+'o-p46fail6'(suite) -> [];
+'o-p46fail6'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p46fail6.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p47fail1.xml
+%% ID: o-p47fail1
+%% Type: not-wf
+%% Sections: 3.2.1 [47]
+'o-p47fail1'(suite) -> [];
+'o-p47fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p47fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p47fail2.xml
+%% ID: o-p47fail2
+%% Type: not-wf
+%% Sections: 3.2.1 [47]
+'o-p47fail2'(suite) -> [];
+'o-p47fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p47fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p47fail3.xml
+%% ID: o-p47fail3
+%% Type: not-wf
+%% Sections: 3.2.1 [47]
+'o-p47fail3'(suite) -> [];
+'o-p47fail3'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p47fail3.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p47fail4.xml
+%% ID: o-p47fail4
+%% Type: not-wf
+%% Sections: 3.2.1 [47]
+'o-p47fail4'(suite) -> [];
+'o-p47fail4'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p47fail4.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p48fail1.xml
+%% ID: o-p48fail1
+%% Type: not-wf
+%% Sections: 3.2.1 [48]
+'o-p48fail1'(suite) -> [];
+'o-p48fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p48fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p48fail2.xml
+%% ID: o-p48fail2
+%% Type: not-wf
+%% Sections: 3.2.1 [48]
+'o-p48fail2'(suite) -> [];
+'o-p48fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p48fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p49fail1.xml
+%% ID: o-p49fail1
+%% Type: not-wf
+%% Sections: 3.2.1 [49]
+'o-p49fail1'(suite) -> [];
+'o-p49fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p49fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p50fail1.xml
+%% ID: o-p50fail1
+%% Type: not-wf
+%% Sections: 3.2.1 [50]
+'o-p50fail1'(suite) -> [];
+'o-p50fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p50fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51fail1.xml
+%% ID: o-p51fail1
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'o-p51fail1'(suite) -> [];
+'o-p51fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p51fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51fail2.xml
+%% ID: o-p51fail2
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'o-p51fail2'(suite) -> [];
+'o-p51fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p51fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51fail3.xml
+%% ID: o-p51fail3
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'o-p51fail3'(suite) -> [];
+'o-p51fail3'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p51fail3.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51fail4.xml
+%% ID: o-p51fail4
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'o-p51fail4'(suite) -> [];
+'o-p51fail4'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p51fail4.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51fail5.xml
+%% ID: o-p51fail5
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'o-p51fail5'(suite) -> [];
+'o-p51fail5'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p51fail5.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51fail6.xml
+%% ID: o-p51fail6
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'o-p51fail6'(suite) -> [];
+'o-p51fail6'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p51fail6.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p51fail7.xml
+%% ID: o-p51fail7
+%% Type: not-wf
+%% Sections: 3.2.2 [51]
+'o-p51fail7'(suite) -> [];
+'o-p51fail7'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p51fail7.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p52fail1.xml
+%% ID: o-p52fail1
+%% Type: not-wf
+%% Sections: 3.3 [52]
+'o-p52fail1'(suite) -> [];
+'o-p52fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p52fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p52fail2.xml
+%% ID: o-p52fail2
+%% Type: not-wf
+%% Sections: 3.3 [52]
+'o-p52fail2'(suite) -> [];
+'o-p52fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p52fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p53fail1.xml
+%% ID: o-p53fail1
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'o-p53fail1'(suite) -> [];
+'o-p53fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p53fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p53fail2.xml
+%% ID: o-p53fail2
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'o-p53fail2'(suite) -> [];
+'o-p53fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p53fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p53fail3.xml
+%% ID: o-p53fail3
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'o-p53fail3'(suite) -> [];
+'o-p53fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p53fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p53fail4.xml
+%% ID: o-p53fail4
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'o-p53fail4'(suite) -> [];
+'o-p53fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p53fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p53fail5.xml
+%% ID: o-p53fail5
+%% Type: not-wf
+%% Sections: 3.3 [53]
+'o-p53fail5'(suite) -> [];
+'o-p53fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p53fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p54fail1.xml
+%% ID: o-p54fail1
+%% Type: not-wf
+%% Sections: 3.3.1 [54]
+'o-p54fail1'(suite) -> [];
+'o-p54fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p54fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p55fail1.xml
+%% ID: o-p55fail1
+%% Type: not-wf
+%% Sections: 3.3.1 [55]
+'o-p55fail1'(suite) -> [];
+'o-p55fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p55fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p56fail1.xml
+%% ID: o-p56fail1
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'o-p56fail1'(suite) -> [];
+'o-p56fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p56fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p56fail2.xml
+%% ID: o-p56fail2
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'o-p56fail2'(suite) -> [];
+'o-p56fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p56fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p56fail3.xml
+%% ID: o-p56fail3
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'o-p56fail3'(suite) -> [];
+'o-p56fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p56fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p56fail4.xml
+%% ID: o-p56fail4
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'o-p56fail4'(suite) -> [];
+'o-p56fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p56fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p56fail5.xml
+%% ID: o-p56fail5
+%% Type: not-wf
+%% Sections: 3.3.1 [56]
+'o-p56fail5'(suite) -> [];
+'o-p56fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p56fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p57fail1.xml
+%% ID: o-p57fail1
+%% Type: not-wf
+%% Sections: 3.3.1 [57]
+'o-p57fail1'(suite) -> [];
+'o-p57fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p57fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail1.xml
+%% ID: o-p58fail1
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail1'(suite) -> [];
+'o-p58fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail2.xml
+%% ID: o-p58fail2
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail2'(suite) -> [];
+'o-p58fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail3.xml
+%% ID: o-p58fail3
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail3'(suite) -> [];
+'o-p58fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail4.xml
+%% ID: o-p58fail4
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail4'(suite) -> [];
+'o-p58fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail5.xml
+%% ID: o-p58fail5
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail5'(suite) -> [];
+'o-p58fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail6.xml
+%% ID: o-p58fail6
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail6'(suite) -> [];
+'o-p58fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail6.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail7.xml
+%% ID: o-p58fail7
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail7'(suite) -> [];
+'o-p58fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail7.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p58fail8.xml
+%% ID: o-p58fail8
+%% Type: not-wf
+%% Sections: 3.3.1 [58]
+'o-p58fail8'(suite) -> [];
+'o-p58fail8'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p58fail8.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p59fail1.xml
+%% ID: o-p59fail1
+%% Type: not-wf
+%% Sections: 3.3.1 [59]
+'o-p59fail1'(suite) -> [];
+'o-p59fail1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p59fail1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p59fail2.xml
+%% ID: o-p59fail2
+%% Type: not-wf
+%% Sections: 3.3.1 [59]
+'o-p59fail2'(suite) -> [];
+'o-p59fail2'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p59fail2.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p59fail3.xml
+%% ID: o-p59fail3
+%% Type: not-wf
+%% Sections: 3.3.1 [59]
+'o-p59fail3'(suite) -> [];
+'o-p59fail3'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p59fail3.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p60fail1.xml
+%% ID: o-p60fail1
+%% Type: not-wf
+%% Sections: 3.3.2 [60]
+'o-p60fail1'(suite) -> [];
+'o-p60fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p60fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p60fail2.xml
+%% ID: o-p60fail2
+%% Type: not-wf
+%% Sections: 3.3.2 [60]
+'o-p60fail2'(suite) -> [];
+'o-p60fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p60fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p60fail3.xml
+%% ID: o-p60fail3
+%% Type: not-wf
+%% Sections: 3.3.2 [60]
+'o-p60fail3'(suite) -> [];
+'o-p60fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p60fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p60fail4.xml
+%% ID: o-p60fail4
+%% Type: not-wf
+%% Sections: 3.3.2 [60]
+'o-p60fail4'(suite) -> [];
+'o-p60fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p60fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p60fail5.xml
+%% ID: o-p60fail5
+%% Type: not-wf
+%% Sections: 3.3.2 [60]
+'o-p60fail5'(suite) -> [];
+'o-p60fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p60fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p61fail1.xml
+%% ID: o-p61fail1
+%% Type: not-wf
+%% Sections: 3.4 [61]
+'o-p61fail1'(suite) -> [];
+'o-p61fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p61fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p62fail1.xml
+%% ID: o-p62fail1
+%% Type: not-wf
+%% Sections: 3.4 [62]
+'o-p62fail1'(suite) -> [];
+'o-p62fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p62fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p62fail2.xml
+%% ID: o-p62fail2
+%% Type: not-wf
+%% Sections: 3.4 [62]
+'o-p62fail2'(suite) -> [];
+'o-p62fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p62fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p63fail1.xml
+%% ID: o-p63fail1
+%% Type: not-wf
+%% Sections: 3.4 [63]
+'o-p63fail1'(suite) -> [];
+'o-p63fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p63fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p63fail2.xml
+%% ID: o-p63fail2
+%% Type: not-wf
+%% Sections: 3.4 [63]
+'o-p63fail2'(suite) -> [];
+'o-p63fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p63fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p64fail1.xml
+%% ID: o-p64fail1
+%% Type: not-wf
+%% Sections: 3.4 [64]
+'o-p64fail1'(suite) -> [];
+'o-p64fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p64fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p64fail2.xml
+%% ID: o-p64fail2
+%% Type: not-wf
+%% Sections: 3.4 [64]
+'o-p64fail2'(suite) -> [];
+'o-p64fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p64fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p66fail1.xml
+%% ID: o-p66fail1
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'o-p66fail1'(suite) -> [];
+'o-p66fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p66fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p66fail2.xml
+%% ID: o-p66fail2
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'o-p66fail2'(suite) -> [];
+'o-p66fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p66fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p66fail3.xml
+%% ID: o-p66fail3
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'o-p66fail3'(suite) -> [];
+'o-p66fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p66fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p66fail4.xml
+%% ID: o-p66fail4
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'o-p66fail4'(suite) -> [];
+'o-p66fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p66fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p66fail5.xml
+%% ID: o-p66fail5
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'o-p66fail5'(suite) -> [];
+'o-p66fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p66fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p66fail6.xml
+%% ID: o-p66fail6
+%% Type: not-wf
+%% Sections: 4.1 [66]
+'o-p66fail6'(suite) -> [];
+'o-p66fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p66fail6.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p68fail1.xml
+%% ID: o-p68fail1
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'o-p68fail1'(suite) -> [];
+'o-p68fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p68fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p68fail2.xml
+%% ID: o-p68fail2
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'o-p68fail2'(suite) -> [];
+'o-p68fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p68fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p68fail3.xml
+%% ID: o-p68fail3
+%% Type: not-wf
+%% Sections: 4.1 [68]
+'o-p68fail3'(suite) -> [];
+'o-p68fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p68fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p69fail1.xml
+%% ID: o-p69fail1
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'o-p69fail1'(suite) -> [];
+'o-p69fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p69fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p69fail2.xml
+%% ID: o-p69fail2
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'o-p69fail2'(suite) -> [];
+'o-p69fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p69fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p69fail3.xml
+%% ID: o-p69fail3
+%% Type: not-wf
+%% Sections: 4.1 [69]
+'o-p69fail3'(suite) -> [];
+'o-p69fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p69fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p70fail1.xml
+%% ID: o-p70fail1
+%% Type: not-wf
+%% Sections: 4.2 [70]
+'o-p70fail1'(suite) -> [];
+'o-p70fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p70fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p71fail1.xml
+%% ID: o-p71fail1
+%% Type: not-wf
+%% Sections: 4.2 [71]
+'o-p71fail1'(suite) -> [];
+'o-p71fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p71fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p71fail2.xml
+%% ID: o-p71fail2
+%% Type: not-wf
+%% Sections: 4.2 [71]
+'o-p71fail2'(suite) -> [];
+'o-p71fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p71fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p71fail3.xml
+%% ID: o-p71fail3
+%% Type: not-wf
+%% Sections: 4.2 [71]
+'o-p71fail3'(suite) -> [];
+'o-p71fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p71fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p71fail4.xml
+%% ID: o-p71fail4
+%% Type: not-wf
+%% Sections: 4.2 [71]
+'o-p71fail4'(suite) -> [];
+'o-p71fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p71fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p72fail1.xml
+%% ID: o-p72fail1
+%% Type: not-wf
+%% Sections: 4.2 [72]
+'o-p72fail1'(suite) -> [];
+'o-p72fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p72fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p72fail2.xml
+%% ID: o-p72fail2
+%% Type: not-wf
+%% Sections: 4.2 [72]
+'o-p72fail2'(suite) -> [];
+'o-p72fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p72fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p72fail3.xml
+%% ID: o-p72fail3
+%% Type: not-wf
+%% Sections: 4.2 [72]
+'o-p72fail3'(suite) -> [];
+'o-p72fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p72fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p72fail4.xml
+%% ID: o-p72fail4
+%% Type: not-wf
+%% Sections: 4.2 [72]
+'o-p72fail4'(suite) -> [];
+'o-p72fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p72fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p73fail1.xml
+%% ID: o-p73fail1
+%% Type: not-wf
+%% Sections: 4.2 [73]
+'o-p73fail1'(suite) -> [];
+'o-p73fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p73fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p73fail2.xml
+%% ID: o-p73fail2
+%% Type: not-wf
+%% Sections: 4.2 [73]
+'o-p73fail2'(suite) -> [];
+'o-p73fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p73fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p73fail3.xml
+%% ID: o-p73fail3
+%% Type: not-wf
+%% Sections: 4.2 [73]
+'o-p73fail3'(suite) -> [];
+'o-p73fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p73fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p73fail4.xml
+%% ID: o-p73fail4
+%% Type: not-wf
+%% Sections: 4.2 [73]
+'o-p73fail4'(suite) -> [];
+'o-p73fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p73fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p73fail5.xml
+%% ID: o-p73fail5
+%% Type: not-wf
+%% Sections: 4.2 [73]
+'o-p73fail5'(suite) -> [];
+'o-p73fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p73fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p74fail1.xml
+%% ID: o-p74fail1
+%% Type: not-wf
+%% Sections: 4.2 [74]
+'o-p74fail1'(suite) -> [];
+'o-p74fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p74fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p74fail2.xml
+%% ID: o-p74fail2
+%% Type: not-wf
+%% Sections: 4.2 [74]
+'o-p74fail2'(suite) -> [];
+'o-p74fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p74fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p74fail3.xml
+%% ID: o-p74fail3
+%% Type: not-wf
+%% Sections: 4.2 [74]
+'o-p74fail3'(suite) -> [];
+'o-p74fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p74fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p75fail1.xml
+%% ID: o-p75fail1
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'o-p75fail1'(suite) -> [];
+'o-p75fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p75fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p75fail2.xml
+%% ID: o-p75fail2
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'o-p75fail2'(suite) -> [];
+'o-p75fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p75fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p75fail3.xml
+%% ID: o-p75fail3
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'o-p75fail3'(suite) -> [];
+'o-p75fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p75fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p75fail4.xml
+%% ID: o-p75fail4
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'o-p75fail4'(suite) -> [];
+'o-p75fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p75fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p75fail5.xml
+%% ID: o-p75fail5
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'o-p75fail5'(suite) -> [];
+'o-p75fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p75fail5.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p75fail6.xml
+%% ID: o-p75fail6
+%% Type: not-wf
+%% Sections: 4.2.2 [75]
+'o-p75fail6'(suite) -> [];
+'o-p75fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p75fail6.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p76fail1.xml
+%% ID: o-p76fail1
+%% Type: not-wf
+%% Sections: 4.2.2 [76]
+'o-p76fail1'(suite) -> [];
+'o-p76fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p76fail1.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p76fail2.xml
+%% ID: o-p76fail2
+%% Type: not-wf
+%% Sections: 4.2.2 [76]
+'o-p76fail2'(suite) -> [];
+'o-p76fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p76fail2.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p76fail3.xml
+%% ID: o-p76fail3
+%% Type: not-wf
+%% Sections: 4.2.2 [76]
+'o-p76fail3'(suite) -> [];
+'o-p76fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p76fail3.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p76fail4.xml
+%% ID: o-p76fail4
+%% Type: not-wf
+%% Sections: 4.2.2 [76]
+'o-p76fail4'(suite) -> [];
+'o-p76fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"oasis","p76fail4.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: p11pass1.xml
+%% ID: o-p11pass1
+%% Type: error
+%% Sections: 2.3, 4.2.2 [11]
+'o-p11pass1'(suite) -> [];
+'o-p11pass1'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"oasis","p11pass1.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: OASIS/NIST TESTS, 1-Nov-1998
+
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: OASIS/NIST XML 1.0 Tests
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P28/ibm28i01.xml
+%% ID: ibm-invalid-P28-ibm28i01.xml
+%% Type: invalid
+%% Sections: 2.8
+'ibm-invalid-P28-ibm28i01'(suite) -> [];
+'ibm-invalid-P28-ibm28i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P28/ibm28i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 28
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P32/ibm32i01.xml
+%% ID: ibm-invalid-P32-ibm32i01.xml
+%% Type: invalid
+%% Sections: 2.9
+'ibm-invalid-P32-ibm32i01'(suite) -> [];
+'ibm-invalid-P32-ibm32i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P32/ibm32i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P32/ibm32i03.xml
+%% ID: ibm-invalid-P32-ibm32i03.xml
+%% Type: invalid
+%% Sections: 2.9
+'ibm-invalid-P32-ibm32i03'(suite) -> [];
+'ibm-invalid-P32-ibm32i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P32/ibm32i03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P32/ibm32i04.xml
+%% ID: ibm-invalid-P32-ibm32i04.xml
+%% Type: invalid
+%% Sections: 2.9
+'ibm-invalid-P32-ibm32i04'(suite) -> [];
+'ibm-invalid-P32-ibm32i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P32/ibm32i04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 32
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P39/ibm39i01.xml
+%% ID: ibm-invalid-P39-ibm39i01.xml
+%% Type: invalid
+%% Sections: 3
+'ibm-invalid-P39-ibm39i01'(suite) -> [];
+'ibm-invalid-P39-ibm39i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P39/ibm39i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P39/ibm39i02.xml
+%% ID: ibm-invalid-P39-ibm39i02.xml
+%% Type: invalid
+%% Sections: 3
+'ibm-invalid-P39-ibm39i02'(suite) -> [];
+'ibm-invalid-P39-ibm39i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P39/ibm39i02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P39/ibm39i03.xml
+%% ID: ibm-invalid-P39-ibm39i03.xml
+%% Type: invalid
+%% Sections: 3
+'ibm-invalid-P39-ibm39i03'(suite) -> [];
+'ibm-invalid-P39-ibm39i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P39/ibm39i03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P39/ibm39i04.xml
+%% ID: ibm-invalid-P39-ibm39i04.xml
+%% Type: invalid
+%% Sections: 3
+'ibm-invalid-P39-ibm39i04'(suite) -> [];
+'ibm-invalid-P39-ibm39i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P39/ibm39i04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 39
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P41/ibm41i01.xml
+%% ID: ibm-invalid-P41-ibm41i01.xml
+%% Type: invalid
+%% Sections: 3.1
+'ibm-invalid-P41-ibm41i01'(suite) -> [];
+'ibm-invalid-P41-ibm41i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P41/ibm41i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P41/ibm41i02.xml
+%% ID: ibm-invalid-P41-ibm41i02.xml
+%% Type: invalid
+%% Sections: 3.1
+'ibm-invalid-P41-ibm41i02'(suite) -> [];
+'ibm-invalid-P41-ibm41i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P41/ibm41i02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 41
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P45/ibm45i01.xml
+%% ID: ibm-invalid-P45-ibm45i01.xml
+%% Type: invalid
+%% Sections: 3.2
+'ibm-invalid-P45-ibm45i01'(suite) -> [];
+'ibm-invalid-P45-ibm45i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P45/ibm45i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 45
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P49/ibm49i01.xml
+%% ID: ibm-invalid-P49-ibm49i01.xml
+%% Type: invalid
+%% Sections: 3.2.1
+'ibm-invalid-P49-ibm49i01'(suite) -> [];
+'ibm-invalid-P49-ibm49i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P49/ibm49i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 49
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P50/ibm50i01.xml
+%% ID: ibm-invalid-P50-ibm50i01.xml
+%% Type: invalid
+%% Sections: 3.2.1
+'ibm-invalid-P50-ibm50i01'(suite) -> [];
+'ibm-invalid-P50-ibm50i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P50/ibm50i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 50
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P51/ibm51i01.xml
+%% ID: ibm-invalid-P51-ibm51i01.xml
+%% Type: invalid
+%% Sections: 3.2.2
+'ibm-invalid-P51-ibm51i01'(suite) -> [];
+'ibm-invalid-P51-ibm51i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P51/ibm51i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P51/ibm51i03.xml
+%% ID: ibm-invalid-P51-ibm51i03.xml
+%% Type: invalid
+%% Sections: 3.2.2
+'ibm-invalid-P51-ibm51i03'(suite) -> [];
+'ibm-invalid-P51-ibm51i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P51/ibm51i03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 51
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i01.xml
+%% ID: ibm-invalid-P56-ibm56i01.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i01'(suite) -> [];
+'ibm-invalid-P56-ibm56i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i02.xml
+%% ID: ibm-invalid-P56-ibm56i02.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i02'(suite) -> [];
+'ibm-invalid-P56-ibm56i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i03.xml
+%% ID: ibm-invalid-P56-ibm56i03.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i03'(suite) -> [];
+'ibm-invalid-P56-ibm56i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i05.xml
+%% ID: ibm-invalid-P56-ibm56i05.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i05'(suite) -> [];
+'ibm-invalid-P56-ibm56i05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i06.xml
+%% ID: ibm-invalid-P56-ibm56i06.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i06'(suite) -> [];
+'ibm-invalid-P56-ibm56i06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i07.xml
+%% ID: ibm-invalid-P56-ibm56i07.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i07'(suite) -> [];
+'ibm-invalid-P56-ibm56i07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i08.xml
+%% ID: ibm-invalid-P56-ibm56i08.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i08'(suite) -> [];
+'ibm-invalid-P56-ibm56i08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i09.xml
+%% ID: ibm-invalid-P56-ibm56i09.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i09'(suite) -> [];
+'ibm-invalid-P56-ibm56i09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i10.xml
+%% ID: ibm-invalid-P56-ibm56i10.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i10'(suite) -> [];
+'ibm-invalid-P56-ibm56i10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i11.xml
+%% ID: ibm-invalid-P56-ibm56i11.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i11'(suite) -> [];
+'ibm-invalid-P56-ibm56i11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i12.xml
+%% ID: ibm-invalid-P56-ibm56i12.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i12'(suite) -> [];
+'ibm-invalid-P56-ibm56i12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i13.xml
+%% ID: ibm-invalid-P56-ibm56i13.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i13'(suite) -> [];
+'ibm-invalid-P56-ibm56i13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i14.xml
+%% ID: ibm-invalid-P56-ibm56i14.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i14'(suite) -> [];
+'ibm-invalid-P56-ibm56i14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i15.xml
+%% ID: ibm-invalid-P56-ibm56i15.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i15'(suite) -> [];
+'ibm-invalid-P56-ibm56i15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i16.xml
+%% ID: ibm-invalid-P56-ibm56i16.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i16'(suite) -> [];
+'ibm-invalid-P56-ibm56i16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i17.xml
+%% ID: ibm-invalid-P56-ibm56i17.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i17'(suite) -> [];
+'ibm-invalid-P56-ibm56i17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i17.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P56/ibm56i18.xml
+%% ID: ibm-invalid-P56-ibm56i18.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P56-ibm56i18'(suite) -> [];
+'ibm-invalid-P56-ibm56i18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P56/ibm56i18.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 56
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P58/ibm58i01.xml
+%% ID: ibm-invalid-P58-ibm58i01.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P58-ibm58i01'(suite) -> [];
+'ibm-invalid-P58-ibm58i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P58/ibm58i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P58/ibm58i02.xml
+%% ID: ibm-invalid-P58-ibm58i02.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P58-ibm58i02'(suite) -> [];
+'ibm-invalid-P58-ibm58i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P58/ibm58i02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 58
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P59/ibm59i01.xml
+%% ID: ibm-invalid-P59-ibm59i01.xml
+%% Type: invalid
+%% Sections: 3.3.1
+'ibm-invalid-P59-ibm59i01'(suite) -> [];
+'ibm-invalid-P59-ibm59i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P59/ibm59i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 59
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P60/ibm60i01.xml
+%% ID: ibm-invalid-P60-ibm60i01.xml
+%% Type: invalid
+%% Sections: 3.3.2
+'ibm-invalid-P60-ibm60i01'(suite) -> [];
+'ibm-invalid-P60-ibm60i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P60/ibm60i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P60/ibm60i02.xml
+%% ID: ibm-invalid-P60-ibm60i02.xml
+%% Type: invalid
+%% Sections: 3.3.2
+'ibm-invalid-P60-ibm60i02'(suite) -> [];
+'ibm-invalid-P60-ibm60i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P60/ibm60i02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P60/ibm60i03.xml
+%% ID: ibm-invalid-P60-ibm60i03.xml
+%% Type: invalid
+%% Sections: 3.3.2
+'ibm-invalid-P60-ibm60i03'(suite) -> [];
+'ibm-invalid-P60-ibm60i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P60/ibm60i03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P60/ibm60i04.xml
+%% ID: ibm-invalid-P60-ibm60i04.xml
+%% Type: invalid
+%% Sections: 3.3.2
+'ibm-invalid-P60-ibm60i04'(suite) -> [];
+'ibm-invalid-P60-ibm60i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P60/ibm60i04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 60
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P68/ibm68i01.xml
+%% ID: ibm-invalid-P68-ibm68i01.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P68-ibm68i01'(suite) -> [];
+'ibm-invalid-P68-ibm68i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P68/ibm68i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P68/ibm68i02.xml
+%% ID: ibm-invalid-P68-ibm68i02.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P68-ibm68i02'(suite) -> [];
+'ibm-invalid-P68-ibm68i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P68/ibm68i02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P68/ibm68i03.xml
+%% ID: ibm-invalid-P68-ibm68i03.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P68-ibm68i03'(suite) -> [];
+'ibm-invalid-P68-ibm68i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P68/ibm68i03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P68/ibm68i04.xml
+%% ID: ibm-invalid-P68-ibm68i04.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P68-ibm68i04'(suite) -> [];
+'ibm-invalid-P68-ibm68i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P68/ibm68i04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 68
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P69/ibm69i01.xml
+%% ID: ibm-invalid-P69-ibm69i01.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P69-ibm69i01'(suite) -> [];
+'ibm-invalid-P69-ibm69i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P69/ibm69i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P69/ibm69i02.xml
+%% ID: ibm-invalid-P69-ibm69i02.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P69-ibm69i02'(suite) -> [];
+'ibm-invalid-P69-ibm69i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P69/ibm69i02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P69/ibm69i03.xml
+%% ID: ibm-invalid-P69-ibm69i03.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P69-ibm69i03'(suite) -> [];
+'ibm-invalid-P69-ibm69i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P69/ibm69i03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P69/ibm69i04.xml
+%% ID: ibm-invalid-P69-ibm69i04.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-invalid-P69-ibm69i04'(suite) -> [];
+'ibm-invalid-P69-ibm69i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P69/ibm69i04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 69
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: invalid/P76/ibm76i01.xml
+%% ID: ibm-invalid-P76-ibm76i01.xml
+%% Type: invalid
+%% Sections: 4.2.2
+'ibm-invalid-P76-ibm76i01'(suite) -> [];
+'ibm-invalid-P76-ibm76i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","invalid/P76/ibm76i01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "invalid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 76
+
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - invalid tests
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P01/ibm01n01.xml
+%% ID: ibm-not-wf-P01-ibm01n01.xml
+%% Type: not-wf
+%% Sections: 2.1
+'ibm-not-wf-P01-ibm01n01'(suite) -> [];
+'ibm-not-wf-P01-ibm01n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P01/ibm01n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P01/ibm01n02.xml
+%% ID: ibm-not-wf-P01-ibm01n02.xml
+%% Type: not-wf
+%% Sections: 2.1
+'ibm-not-wf-P01-ibm01n02'(suite) -> [];
+'ibm-not-wf-P01-ibm01n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P01/ibm01n02.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_, <<"xml version=\"1.0\"?>", _/binary>>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P01/ibm01n03.xml
+%% ID: ibm-not-wf-P01-ibm01n03.xml
+%% Type: not-wf
+%% Sections: 2.1
+'ibm-not-wf-P01-ibm01n03'(suite) -> [];
+'ibm-not-wf-P01-ibm01n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P01/ibm01n03.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_, <<"<title>Wrong combination!</title>", _/binary>>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 1
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n01.xml
+%% ID: ibm-not-wf-P02-ibm02n01.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n01'(suite) -> [];
+'ibm-not-wf-P02-ibm02n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n02.xml
+%% ID: ibm-not-wf-P02-ibm02n02.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n02'(suite) -> [];
+'ibm-not-wf-P02-ibm02n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n03.xml
+%% ID: ibm-not-wf-P02-ibm02n03.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n03'(suite) -> [];
+'ibm-not-wf-P02-ibm02n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n04.xml
+%% ID: ibm-not-wf-P02-ibm02n04.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n04'(suite) -> [];
+'ibm-not-wf-P02-ibm02n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n05.xml
+%% ID: ibm-not-wf-P02-ibm02n05.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n05'(suite) -> [];
+'ibm-not-wf-P02-ibm02n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n06.xml
+%% ID: ibm-not-wf-P02-ibm02n06.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n06'(suite) -> [];
+'ibm-not-wf-P02-ibm02n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n07.xml
+%% ID: ibm-not-wf-P02-ibm02n07.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n07'(suite) -> [];
+'ibm-not-wf-P02-ibm02n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n08.xml
+%% ID: ibm-not-wf-P02-ibm02n08.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n08'(suite) -> [];
+'ibm-not-wf-P02-ibm02n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n09.xml
+%% ID: ibm-not-wf-P02-ibm02n09.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n09'(suite) -> [];
+'ibm-not-wf-P02-ibm02n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n10.xml
+%% ID: ibm-not-wf-P02-ibm02n10.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n10'(suite) -> [];
+'ibm-not-wf-P02-ibm02n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n11.xml
+%% ID: ibm-not-wf-P02-ibm02n11.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n11'(suite) -> [];
+'ibm-not-wf-P02-ibm02n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n12.xml
+%% ID: ibm-not-wf-P02-ibm02n12.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n12'(suite) -> [];
+'ibm-not-wf-P02-ibm02n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n13.xml
+%% ID: ibm-not-wf-P02-ibm02n13.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n13'(suite) -> [];
+'ibm-not-wf-P02-ibm02n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n14.xml
+%% ID: ibm-not-wf-P02-ibm02n14.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n14'(suite) -> [];
+'ibm-not-wf-P02-ibm02n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n15.xml
+%% ID: ibm-not-wf-P02-ibm02n15.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n15'(suite) -> [];
+'ibm-not-wf-P02-ibm02n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n16.xml
+%% ID: ibm-not-wf-P02-ibm02n16.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n16'(suite) -> [];
+'ibm-not-wf-P02-ibm02n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n17.xml
+%% ID: ibm-not-wf-P02-ibm02n17.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n17'(suite) -> [];
+'ibm-not-wf-P02-ibm02n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n17.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n18.xml
+%% ID: ibm-not-wf-P02-ibm02n18.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n18'(suite) -> [];
+'ibm-not-wf-P02-ibm02n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n18.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n19.xml
+%% ID: ibm-not-wf-P02-ibm02n19.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n19'(suite) -> [];
+'ibm-not-wf-P02-ibm02n19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n19.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n20.xml
+%% ID: ibm-not-wf-P02-ibm02n20.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n20'(suite) -> [];
+'ibm-not-wf-P02-ibm02n20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n20.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n21.xml
+%% ID: ibm-not-wf-P02-ibm02n21.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n21'(suite) -> [];
+'ibm-not-wf-P02-ibm02n21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n21.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n22.xml
+%% ID: ibm-not-wf-P02-ibm02n22.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n22'(suite) -> [];
+'ibm-not-wf-P02-ibm02n22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n22.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n23.xml
+%% ID: ibm-not-wf-P02-ibm02n23.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n23'(suite) -> [];
+'ibm-not-wf-P02-ibm02n23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n23.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n24.xml
+%% ID: ibm-not-wf-P02-ibm02n24.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n24'(suite) -> [];
+'ibm-not-wf-P02-ibm02n24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n24.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n25.xml
+%% ID: ibm-not-wf-P02-ibm02n25.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n25'(suite) -> [];
+'ibm-not-wf-P02-ibm02n25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n25.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n26.xml
+%% ID: ibm-not-wf-P02-ibm02n26.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n26'(suite) -> [];
+'ibm-not-wf-P02-ibm02n26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n26.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n27.xml
+%% ID: ibm-not-wf-P02-ibm02n27.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n27'(suite) -> [];
+'ibm-not-wf-P02-ibm02n27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n27.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n28.xml
+%% ID: ibm-not-wf-P02-ibm02n28.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n28'(suite) -> [];
+'ibm-not-wf-P02-ibm02n28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n28.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n29.xml
+%% ID: ibm-not-wf-P02-ibm02n29.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n29'(suite) -> [];
+'ibm-not-wf-P02-ibm02n29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n29.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n30.xml
+%% ID: ibm-not-wf-P02-ibm02n30.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n30'(suite) -> [];
+'ibm-not-wf-P02-ibm02n30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n30.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n31.xml
+%% ID: ibm-not-wf-P02-ibm02n31.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n31'(suite) -> [];
+'ibm-not-wf-P02-ibm02n31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n31.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n32.xml
+%% ID: ibm-not-wf-P02-ibm02n32.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n32'(suite) -> [];
+'ibm-not-wf-P02-ibm02n32'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n32.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P02/ibm02n33.xml
+%% ID: ibm-not-wf-P02-ibm02n33.xml
+%% Type: not-wf
+%% Sections: 2.2
+'ibm-not-wf-P02-ibm02n33'(suite) -> [];
+'ibm-not-wf-P02-ibm02n33'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P02/ibm02n33.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 2
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P03/ibm03n01.xml
+%% ID: ibm-not-wf-P03-ibm03n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P03-ibm03n01'(suite) -> [];
+'ibm-not-wf-P03-ibm03n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P03/ibm03n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 3
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n01.xml
+%% ID: ibm-not-wf-P04-ibm04n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n01'(suite) -> [];
+'ibm-not-wf-P04-ibm04n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n02.xml
+%% ID: ibm-not-wf-P04-ibm04n02.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n02'(suite) -> [];
+'ibm-not-wf-P04-ibm04n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n03.xml
+%% ID: ibm-not-wf-P04-ibm04n03.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n03'(suite) -> [];
+'ibm-not-wf-P04-ibm04n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n04.xml
+%% ID: ibm-not-wf-P04-ibm04n04.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n04'(suite) -> [];
+'ibm-not-wf-P04-ibm04n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n05.xml
+%% ID: ibm-not-wf-P04-ibm04n05.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n05'(suite) -> [];
+'ibm-not-wf-P04-ibm04n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n06.xml
+%% ID: ibm-not-wf-P04-ibm04n06.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n06'(suite) -> [];
+'ibm-not-wf-P04-ibm04n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n07.xml
+%% ID: ibm-not-wf-P04-ibm04n07.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n07'(suite) -> [];
+'ibm-not-wf-P04-ibm04n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n08.xml
+%% ID: ibm-not-wf-P04-ibm04n08.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n08'(suite) -> [];
+'ibm-not-wf-P04-ibm04n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n09.xml
+%% ID: ibm-not-wf-P04-ibm04n09.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n09'(suite) -> [];
+'ibm-not-wf-P04-ibm04n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n10.xml
+%% ID: ibm-not-wf-P04-ibm04n10.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n10'(suite) -> [];
+'ibm-not-wf-P04-ibm04n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n11.xml
+%% ID: ibm-not-wf-P04-ibm04n11.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n11'(suite) -> [];
+'ibm-not-wf-P04-ibm04n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n12.xml
+%% ID: ibm-not-wf-P04-ibm04n12.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n12'(suite) -> [];
+'ibm-not-wf-P04-ibm04n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n13.xml
+%% ID: ibm-not-wf-P04-ibm04n13.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n13'(suite) -> [];
+'ibm-not-wf-P04-ibm04n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n14.xml
+%% ID: ibm-not-wf-P04-ibm04n14.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n14'(suite) -> [];
+'ibm-not-wf-P04-ibm04n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n15.xml
+%% ID: ibm-not-wf-P04-ibm04n15.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n15'(suite) -> [];
+'ibm-not-wf-P04-ibm04n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n16.xml
+%% ID: ibm-not-wf-P04-ibm04n16.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n16'(suite) -> [];
+'ibm-not-wf-P04-ibm04n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n17.xml
+%% ID: ibm-not-wf-P04-ibm04n17.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n17'(suite) -> [];
+'ibm-not-wf-P04-ibm04n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n17.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P04/ibm04n18.xml
+%% ID: ibm-not-wf-P04-ibm04n18.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P04-ibm04n18'(suite) -> [];
+'ibm-not-wf-P04-ibm04n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P04/ibm04n18.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 4
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P05/ibm05n01.xml
+%% ID: ibm-not-wf-P05-ibm05n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P05-ibm05n01'(suite) -> [];
+'ibm-not-wf-P05-ibm05n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P05/ibm05n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P05/ibm05n02.xml
+%% ID: ibm-not-wf-P05-ibm05n02.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P05-ibm05n02'(suite) -> [];
+'ibm-not-wf-P05-ibm05n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P05/ibm05n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P05/ibm05n03.xml
+%% ID: ibm-not-wf-P05-ibm05n03.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P05-ibm05n03'(suite) -> [];
+'ibm-not-wf-P05-ibm05n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P05/ibm05n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 5
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P09/ibm09n01.xml
+%% ID: ibm-not-wf-P09-ibm09n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P09-ibm09n01'(suite) -> [];
+'ibm-not-wf-P09-ibm09n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P09/ibm09n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P09/ibm09n02.xml
+%% ID: ibm-not-wf-P09-ibm09n02.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P09-ibm09n02'(suite) -> [];
+'ibm-not-wf-P09-ibm09n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P09/ibm09n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P09/ibm09n03.xml
+%% ID: ibm-not-wf-P09-ibm09n03.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P09-ibm09n03'(suite) -> [];
+'ibm-not-wf-P09-ibm09n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P09/ibm09n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P09/ibm09n04.xml
+%% ID: ibm-not-wf-P09-ibm09n04.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P09-ibm09n04'(suite) -> [];
+'ibm-not-wf-P09-ibm09n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P09/ibm09n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 9
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n01.xml
+%% ID: ibm-not-wf-P10-ibm10n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n01'(suite) -> [];
+'ibm-not-wf-P10-ibm10n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n02.xml
+%% ID: ibm-not-wf-P10-ibm10n02.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n02'(suite) -> [];
+'ibm-not-wf-P10-ibm10n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n03.xml
+%% ID: ibm-not-wf-P10-ibm10n03.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n03'(suite) -> [];
+'ibm-not-wf-P10-ibm10n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n04.xml
+%% ID: ibm-not-wf-P10-ibm10n04.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n04'(suite) -> [];
+'ibm-not-wf-P10-ibm10n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n05.xml
+%% ID: ibm-not-wf-P10-ibm10n05.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n05'(suite) -> [];
+'ibm-not-wf-P10-ibm10n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n06.xml
+%% ID: ibm-not-wf-P10-ibm10n06.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n06'(suite) -> [];
+'ibm-not-wf-P10-ibm10n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n07.xml
+%% ID: ibm-not-wf-P10-ibm10n07.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n07'(suite) -> [];
+'ibm-not-wf-P10-ibm10n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P10/ibm10n08.xml
+%% ID: ibm-not-wf-P10-ibm10n08.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P10-ibm10n08'(suite) -> [];
+'ibm-not-wf-P10-ibm10n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P10/ibm10n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 10
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P11/ibm11n01.xml
+%% ID: ibm-not-wf-P11-ibm11n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P11-ibm11n01'(suite) -> [];
+'ibm-not-wf-P11-ibm11n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P11/ibm11n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P11/ibm11n02.xml
+%% ID: ibm-not-wf-P11-ibm11n02.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P11-ibm11n02'(suite) -> [];
+'ibm-not-wf-P11-ibm11n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P11/ibm11n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P11/ibm11n03.xml
+%% ID: ibm-not-wf-P11-ibm11n03.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P11-ibm11n03'(suite) -> [];
+'ibm-not-wf-P11-ibm11n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P11/ibm11n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P11/ibm11n04.xml
+%% ID: ibm-not-wf-P11-ibm11n04.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P11-ibm11n04'(suite) -> [];
+'ibm-not-wf-P11-ibm11n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P11/ibm11n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 11
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P12/ibm12n01.xml
+%% ID: ibm-not-wf-P12-ibm12n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P12-ibm12n01'(suite) -> [];
+'ibm-not-wf-P12-ibm12n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P12/ibm12n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P12/ibm12n02.xml
+%% ID: ibm-not-wf-P12-ibm12n02.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P12-ibm12n02'(suite) -> [];
+'ibm-not-wf-P12-ibm12n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P12/ibm12n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P12/ibm12n03.xml
+%% ID: ibm-not-wf-P12-ibm12n03.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P12-ibm12n03'(suite) -> [];
+'ibm-not-wf-P12-ibm12n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P12/ibm12n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 12
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P13/ibm13n01.xml
+%% ID: ibm-not-wf-P13-ibm13n01.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P13-ibm13n01'(suite) -> [];
+'ibm-not-wf-P13-ibm13n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P13/ibm13n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P13/ibm13n02.xml
+%% ID: ibm-not-wf-P13-ibm13n02.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P13-ibm13n02'(suite) -> [];
+'ibm-not-wf-P13-ibm13n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P13/ibm13n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P13/ibm13n03.xml
+%% ID: ibm-not-wf-P13-ibm13n03.xml
+%% Type: not-wf
+%% Sections: 2.3
+'ibm-not-wf-P13-ibm13n03'(suite) -> [];
+'ibm-not-wf-P13-ibm13n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P13/ibm13n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 13
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P14/ibm14n01.xml
+%% ID: ibm-not-wf-P14-ibm14n01.xml
+%% Type: not-wf
+%% Sections: 2.4
+'ibm-not-wf-P14-ibm14n01'(suite) -> [];
+'ibm-not-wf-P14-ibm14n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P14/ibm14n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P14/ibm14n02.xml
+%% ID: ibm-not-wf-P14-ibm14n02.xml
+%% Type: not-wf
+%% Sections: 2.4
+'ibm-not-wf-P14-ibm14n02'(suite) -> [];
+'ibm-not-wf-P14-ibm14n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P14/ibm14n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P14/ibm14n03.xml
+%% ID: ibm-not-wf-P14-ibm14n03.xml
+%% Type: not-wf
+%% Sections: 2.4
+'ibm-not-wf-P14-ibm14n03'(suite) -> [];
+'ibm-not-wf-P14-ibm14n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P14/ibm14n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 14
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P15/ibm15n01.xml
+%% ID: ibm-not-wf-P15-ibm15n01.xml
+%% Type: not-wf
+%% Sections: 2.5
+'ibm-not-wf-P15-ibm15n01'(suite) -> [];
+'ibm-not-wf-P15-ibm15n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P15/ibm15n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P15/ibm15n02.xml
+%% ID: ibm-not-wf-P15-ibm15n02.xml
+%% Type: not-wf
+%% Sections: 2.5
+'ibm-not-wf-P15-ibm15n02'(suite) -> [];
+'ibm-not-wf-P15-ibm15n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P15/ibm15n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P15/ibm15n03.xml
+%% ID: ibm-not-wf-P15-ibm15n03.xml
+%% Type: not-wf
+%% Sections: 2.5
+'ibm-not-wf-P15-ibm15n03'(suite) -> [];
+'ibm-not-wf-P15-ibm15n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P15/ibm15n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P15/ibm15n04.xml
+%% ID: ibm-not-wf-P15-ibm15n04.xml
+%% Type: not-wf
+%% Sections: 2.5
+'ibm-not-wf-P15-ibm15n04'(suite) -> [];
+'ibm-not-wf-P15-ibm15n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P15/ibm15n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 15
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P16/ibm16n01.xml
+%% ID: ibm-not-wf-P16-ibm16n01.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P16-ibm16n01'(suite) -> [];
+'ibm-not-wf-P16-ibm16n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P16/ibm16n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P16/ibm16n02.xml
+%% ID: ibm-not-wf-P16-ibm16n02.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P16-ibm16n02'(suite) -> [];
+'ibm-not-wf-P16-ibm16n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P16/ibm16n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P16/ibm16n03.xml
+%% ID: ibm-not-wf-P16-ibm16n03.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P16-ibm16n03'(suite) -> [];
+'ibm-not-wf-P16-ibm16n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P16/ibm16n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P16/ibm16n04.xml
+%% ID: ibm-not-wf-P16-ibm16n04.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P16-ibm16n04'(suite) -> [];
+'ibm-not-wf-P16-ibm16n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P16/ibm16n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 16
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P17/ibm17n01.xml
+%% ID: ibm-not-wf-P17-ibm17n01.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P17-ibm17n01'(suite) -> [];
+'ibm-not-wf-P17-ibm17n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P17/ibm17n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P17/ibm17n02.xml
+%% ID: ibm-not-wf-P17-ibm17n02.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P17-ibm17n02'(suite) -> [];
+'ibm-not-wf-P17-ibm17n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P17/ibm17n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P17/ibm17n03.xml
+%% ID: ibm-not-wf-P17-ibm17n03.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P17-ibm17n03'(suite) -> [];
+'ibm-not-wf-P17-ibm17n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P17/ibm17n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P17/ibm17n04.xml
+%% ID: ibm-not-wf-P17-ibm17n04.xml
+%% Type: not-wf
+%% Sections: 2.6
+'ibm-not-wf-P17-ibm17n04'(suite) -> [];
+'ibm-not-wf-P17-ibm17n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P17/ibm17n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 17
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P18/ibm18n01.xml
+%% ID: ibm-not-wf-P18-ibm18n01.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P18-ibm18n01'(suite) -> [];
+'ibm-not-wf-P18-ibm18n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P18/ibm18n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P18/ibm18n02.xml
+%% ID: ibm-not-wf-P18-ibm18n02.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P18-ibm18n02'(suite) -> [];
+'ibm-not-wf-P18-ibm18n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P18/ibm18n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 18
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P19/ibm19n01.xml
+%% ID: ibm-not-wf-P19-ibm19n01.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P19-ibm19n01'(suite) -> [];
+'ibm-not-wf-P19-ibm19n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P19/ibm19n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P19/ibm19n02.xml
+%% ID: ibm-not-wf-P19-ibm19n02.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P19-ibm19n02'(suite) -> [];
+'ibm-not-wf-P19-ibm19n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P19/ibm19n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P19/ibm19n03.xml
+%% ID: ibm-not-wf-P19-ibm19n03.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P19-ibm19n03'(suite) -> [];
+'ibm-not-wf-P19-ibm19n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P19/ibm19n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 19
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P20/ibm20n01.xml
+%% ID: ibm-not-wf-P20-ibm20n01.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P20-ibm20n01'(suite) -> [];
+'ibm-not-wf-P20-ibm20n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P20/ibm20n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 20
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P21/ibm21n01.xml
+%% ID: ibm-not-wf-P21-ibm21n01.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P21-ibm21n01'(suite) -> [];
+'ibm-not-wf-P21-ibm21n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P21/ibm21n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P21/ibm21n02.xml
+%% ID: ibm-not-wf-P21-ibm21n02.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P21-ibm21n02'(suite) -> [];
+'ibm-not-wf-P21-ibm21n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P21/ibm21n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P21/ibm21n03.xml
+%% ID: ibm-not-wf-P21-ibm21n03.xml
+%% Type: not-wf
+%% Sections: 2.7
+'ibm-not-wf-P21-ibm21n03'(suite) -> [];
+'ibm-not-wf-P21-ibm21n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P21/ibm21n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 21
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P22/ibm22n01.xml
+%% ID: ibm-not-wf-P22-ibm22n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P22-ibm22n01'(suite) -> [];
+'ibm-not-wf-P22-ibm22n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P22/ibm22n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P22/ibm22n02.xml
+%% ID: ibm-not-wf-P22-ibm22n02.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P22-ibm22n02'(suite) -> [];
+'ibm-not-wf-P22-ibm22n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P22/ibm22n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P22/ibm22n03.xml
+%% ID: ibm-not-wf-P22-ibm22n03.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P22-ibm22n03'(suite) -> [];
+'ibm-not-wf-P22-ibm22n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P22/ibm22n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 22
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P23/ibm23n01.xml
+%% ID: ibm-not-wf-P23-ibm23n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P23-ibm23n01'(suite) -> [];
+'ibm-not-wf-P23-ibm23n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P23/ibm23n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P23/ibm23n02.xml
+%% ID: ibm-not-wf-P23-ibm23n02.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P23-ibm23n02'(suite) -> [];
+'ibm-not-wf-P23-ibm23n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P23/ibm23n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P23/ibm23n03.xml
+%% ID: ibm-not-wf-P23-ibm23n03.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P23-ibm23n03'(suite) -> [];
+'ibm-not-wf-P23-ibm23n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P23/ibm23n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P23/ibm23n04.xml
+%% ID: ibm-not-wf-P23-ibm23n04.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P23-ibm23n04'(suite) -> [];
+'ibm-not-wf-P23-ibm23n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P23/ibm23n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P23/ibm23n05.xml
+%% ID: ibm-not-wf-P23-ibm23n05.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P23-ibm23n05'(suite) -> [];
+'ibm-not-wf-P23-ibm23n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P23/ibm23n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P23/ibm23n06.xml
+%% ID: ibm-not-wf-P23-ibm23n06.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P23-ibm23n06'(suite) -> [];
+'ibm-not-wf-P23-ibm23n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P23/ibm23n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 23
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n01.xml
+%% ID: ibm-not-wf-P24-ibm24n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n01'(suite) -> [];
+'ibm-not-wf-P24-ibm24n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n02.xml
+%% ID: ibm-not-wf-P24-ibm24n02.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n02'(suite) -> [];
+'ibm-not-wf-P24-ibm24n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n03.xml
+%% ID: ibm-not-wf-P24-ibm24n03.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n03'(suite) -> [];
+'ibm-not-wf-P24-ibm24n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n04.xml
+%% ID: ibm-not-wf-P24-ibm24n04.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n04'(suite) -> [];
+'ibm-not-wf-P24-ibm24n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n05.xml
+%% ID: ibm-not-wf-P24-ibm24n05.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n05'(suite) -> [];
+'ibm-not-wf-P24-ibm24n05'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n05.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n06.xml
+%% ID: ibm-not-wf-P24-ibm24n06.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n06'(suite) -> [];
+'ibm-not-wf-P24-ibm24n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n07.xml
+%% ID: ibm-not-wf-P24-ibm24n07.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n07'(suite) -> [];
+'ibm-not-wf-P24-ibm24n07'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n07.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n08.xml
+%% ID: ibm-not-wf-P24-ibm24n08.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n08'(suite) -> [];
+'ibm-not-wf-P24-ibm24n08'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n08.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P24/ibm24n09.xml
+%% ID: ibm-not-wf-P24-ibm24n09.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P24-ibm24n09'(suite) -> [];
+'ibm-not-wf-P24-ibm24n09'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P24/ibm24n09.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 24
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P25/ibm25n01.xml
+%% ID: ibm-not-wf-P25-ibm25n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P25-ibm25n01'(suite) -> [];
+'ibm-not-wf-P25-ibm25n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P25/ibm25n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P25/ibm25n02.xml
+%% ID: ibm-not-wf-P25-ibm25n02.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P25-ibm25n02'(suite) -> [];
+'ibm-not-wf-P25-ibm25n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P25/ibm25n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 25
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P26/ibm26n01.xml
+%% ID: ibm-not-wf-P26-ibm26n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P26-ibm26n01'(suite) -> [];
+'ibm-not-wf-P26-ibm26n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P26/ibm26n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 26
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P27/ibm27n01.xml
+%% ID: ibm-not-wf-P27-ibm27n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P27-ibm27n01'(suite) -> [];
+'ibm-not-wf-P27-ibm27n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P27/ibm27n01.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_, <<"<!ELEMENT cat EMPTY>">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 27
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n01.xml
+%% ID: ibm-not-wf-P28-ibm28n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n01'(suite) -> [];
+'ibm-not-wf-P28-ibm28n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n02.xml
+%% ID: ibm-not-wf-P28-ibm28n02.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n02'(suite) -> [];
+'ibm-not-wf-P28-ibm28n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n03.xml
+%% ID: ibm-not-wf-P28-ibm28n03.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n03'(suite) -> [];
+'ibm-not-wf-P28-ibm28n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n04.xml
+%% ID: ibm-not-wf-P28-ibm28n04.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n04'(suite) -> [];
+'ibm-not-wf-P28-ibm28n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n05.xml
+%% ID: ibm-not-wf-P28-ibm28n05.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n05'(suite) -> [];
+'ibm-not-wf-P28-ibm28n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n06.xml
+%% ID: ibm-not-wf-P28-ibm28n06.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n06'(suite) -> [];
+'ibm-not-wf-P28-ibm28n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n07.xml
+%% ID: ibm-not-wf-P28-ibm28n07.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n07'(suite) -> [];
+'ibm-not-wf-P28-ibm28n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P28/ibm28n08.xml
+%% ID: ibm-not-wf-P28-ibm28n08.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P28-ibm28n08'(suite) -> [];
+'ibm-not-wf-P28-ibm28n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P28/ibm28n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 28
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/p28a/ibm28an01.xml
+%% ID: ibm-not-wf-p28a-ibm28an01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-p28a-ibm28an01'(suite) -> [];
+'ibm-not-wf-p28a-ibm28an01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/p28a/ibm28an01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 28a
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P29/ibm29n01.xml
+%% ID: ibm-not-wf-P29-ibm29n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P29-ibm29n01'(suite) -> [];
+'ibm-not-wf-P29-ibm29n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P29/ibm29n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P29/ibm29n02.xml
+%% ID: ibm-not-wf-P29-ibm29n02.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P29-ibm29n02'(suite) -> [];
+'ibm-not-wf-P29-ibm29n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P29/ibm29n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P29/ibm29n03.xml
+%% ID: ibm-not-wf-P29-ibm29n03.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P29-ibm29n03'(suite) -> [];
+'ibm-not-wf-P29-ibm29n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P29/ibm29n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P29/ibm29n04.xml
+%% ID: ibm-not-wf-P29-ibm29n04.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P29-ibm29n04'(suite) -> [];
+'ibm-not-wf-P29-ibm29n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P29/ibm29n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P29/ibm29n05.xml
+%% ID: ibm-not-wf-P29-ibm29n05.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P29-ibm29n05'(suite) -> [];
+'ibm-not-wf-P29-ibm29n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P29/ibm29n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P29/ibm29n06.xml
+%% ID: ibm-not-wf-P29-ibm29n06.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P29-ibm29n06'(suite) -> [];
+'ibm-not-wf-P29-ibm29n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P29/ibm29n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P29/ibm29n07.xml
+%% ID: ibm-not-wf-P29-ibm29n07.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P29-ibm29n07'(suite) -> [];
+'ibm-not-wf-P29-ibm29n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P29/ibm29n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 29
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P30/ibm30n01.xml
+%% ID: ibm-not-wf-P30-ibm30n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P30-ibm30n01'(suite) -> [];
+'ibm-not-wf-P30-ibm30n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P30/ibm30n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 30
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P31/ibm31n01.xml
+%% ID: ibm-not-wf-P31-ibm31n01.xml
+%% Type: not-wf
+%% Sections: 2.8
+'ibm-not-wf-P31-ibm31n01'(suite) -> [];
+'ibm-not-wf-P31-ibm31n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P31/ibm31n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 31
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n01.xml
+%% ID: ibm-not-wf-P32-ibm32n01.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n01'(suite) -> [];
+'ibm-not-wf-P32-ibm32n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n02.xml
+%% ID: ibm-not-wf-P32-ibm32n02.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n02'(suite) -> [];
+'ibm-not-wf-P32-ibm32n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n03.xml
+%% ID: ibm-not-wf-P32-ibm32n03.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n03'(suite) -> [];
+'ibm-not-wf-P32-ibm32n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n04.xml
+%% ID: ibm-not-wf-P32-ibm32n04.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n04'(suite) -> [];
+'ibm-not-wf-P32-ibm32n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n05.xml
+%% ID: ibm-not-wf-P32-ibm32n05.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n05'(suite) -> [];
+'ibm-not-wf-P32-ibm32n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n06.xml
+%% ID: ibm-not-wf-P32-ibm32n06.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n06'(suite) -> [];
+'ibm-not-wf-P32-ibm32n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n07.xml
+%% ID: ibm-not-wf-P32-ibm32n07.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n07'(suite) -> [];
+'ibm-not-wf-P32-ibm32n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n08.xml
+%% ID: ibm-not-wf-P32-ibm32n08.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n08'(suite) -> [];
+'ibm-not-wf-P32-ibm32n08'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n08.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P32/ibm32n09.xml
+%% ID: ibm-not-wf-P32-ibm32n09.xml
+%% Type: not-wf
+%% Sections: 2.9
+'ibm-not-wf-P32-ibm32n09'(suite) -> [];
+'ibm-not-wf-P32-ibm32n09'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P32/ibm32n09.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 32
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P39/ibm39n01.xml
+%% ID: ibm-not-wf-P39-ibm39n01.xml
+%% Type: not-wf
+%% Sections: 3
+'ibm-not-wf-P39-ibm39n01'(suite) -> [];
+'ibm-not-wf-P39-ibm39n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P39/ibm39n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P39/ibm39n02.xml
+%% ID: ibm-not-wf-P39-ibm39n02.xml
+%% Type: not-wf
+%% Sections: 3
+'ibm-not-wf-P39-ibm39n02'(suite) -> [];
+'ibm-not-wf-P39-ibm39n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P39/ibm39n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P39/ibm39n03.xml
+%% ID: ibm-not-wf-P39-ibm39n03.xml
+%% Type: not-wf
+%% Sections: 3
+'ibm-not-wf-P39-ibm39n03'(suite) -> [];
+'ibm-not-wf-P39-ibm39n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P39/ibm39n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P39/ibm39n04.xml
+%% ID: ibm-not-wf-P39-ibm39n04.xml
+%% Type: not-wf
+%% Sections: 3
+'ibm-not-wf-P39-ibm39n04'(suite) -> [];
+'ibm-not-wf-P39-ibm39n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P39/ibm39n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P39/ibm39n05.xml
+%% ID: ibm-not-wf-P39-ibm39n05.xml
+%% Type: not-wf
+%% Sections: 3
+'ibm-not-wf-P39-ibm39n05'(suite) -> [];
+'ibm-not-wf-P39-ibm39n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P39/ibm39n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P39/ibm39n06.xml
+%% ID: ibm-not-wf-P39-ibm39n06.xml
+%% Type: not-wf
+%% Sections: 3
+'ibm-not-wf-P39-ibm39n06'(suite) -> [];
+'ibm-not-wf-P39-ibm39n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P39/ibm39n06.xml"]),
+ %% Special case becase we returns everything after a legal document
+ %% as an rest instead of giving and error to let the user handle
+ %% multipple docs on a stream.
+ ?line {ok,_,<<"content after end tag\r\n">>} = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]).
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 39
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P40/ibm40n01.xml
+%% ID: ibm-not-wf-P40-ibm40n01.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P40-ibm40n01'(suite) -> [];
+'ibm-not-wf-P40-ibm40n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P40/ibm40n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P40/ibm40n02.xml
+%% ID: ibm-not-wf-P40-ibm40n02.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P40-ibm40n02'(suite) -> [];
+'ibm-not-wf-P40-ibm40n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P40/ibm40n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P40/ibm40n03.xml
+%% ID: ibm-not-wf-P40-ibm40n03.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P40-ibm40n03'(suite) -> [];
+'ibm-not-wf-P40-ibm40n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P40/ibm40n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P40/ibm40n04.xml
+%% ID: ibm-not-wf-P40-ibm40n04.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P40-ibm40n04'(suite) -> [];
+'ibm-not-wf-P40-ibm40n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P40/ibm40n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P40/ibm40n05.xml
+%% ID: ibm-not-wf-P40-ibm40n05.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P40-ibm40n05'(suite) -> [];
+'ibm-not-wf-P40-ibm40n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P40/ibm40n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 40
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n01.xml
+%% ID: ibm-not-wf-P41-ibm41n01.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n01'(suite) -> [];
+'ibm-not-wf-P41-ibm41n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n02.xml
+%% ID: ibm-not-wf-P41-ibm41n02.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n02'(suite) -> [];
+'ibm-not-wf-P41-ibm41n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n03.xml
+%% ID: ibm-not-wf-P41-ibm41n03.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n03'(suite) -> [];
+'ibm-not-wf-P41-ibm41n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n04.xml
+%% ID: ibm-not-wf-P41-ibm41n04.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n04'(suite) -> [];
+'ibm-not-wf-P41-ibm41n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n05.xml
+%% ID: ibm-not-wf-P41-ibm41n05.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n05'(suite) -> [];
+'ibm-not-wf-P41-ibm41n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n06.xml
+%% ID: ibm-not-wf-P41-ibm41n06.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n06'(suite) -> [];
+'ibm-not-wf-P41-ibm41n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n07.xml
+%% ID: ibm-not-wf-P41-ibm41n07.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n07'(suite) -> [];
+'ibm-not-wf-P41-ibm41n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n08.xml
+%% ID: ibm-not-wf-P41-ibm41n08.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n08'(suite) -> [];
+'ibm-not-wf-P41-ibm41n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n09.xml
+%% ID: ibm-not-wf-P41-ibm41n09.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n09'(suite) -> [];
+'ibm-not-wf-P41-ibm41n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n10.xml
+%% ID: ibm-not-wf-P41-ibm41n10.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n10'(suite) -> [];
+'ibm-not-wf-P41-ibm41n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n11.xml
+%% ID: ibm-not-wf-P41-ibm41n11.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n11'(suite) -> [];
+'ibm-not-wf-P41-ibm41n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n12.xml
+%% ID: ibm-not-wf-P41-ibm41n12.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n12'(suite) -> [];
+'ibm-not-wf-P41-ibm41n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n13.xml
+%% ID: ibm-not-wf-P41-ibm41n13.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n13'(suite) -> [];
+'ibm-not-wf-P41-ibm41n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P41/ibm41n14.xml
+%% ID: ibm-not-wf-P41-ibm41n14.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P41-ibm41n14'(suite) -> [];
+'ibm-not-wf-P41-ibm41n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P41/ibm41n14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 41
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P42/ibm42n01.xml
+%% ID: ibm-not-wf-P42-ibm42n01.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P42-ibm42n01'(suite) -> [];
+'ibm-not-wf-P42-ibm42n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P42/ibm42n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P42/ibm42n02.xml
+%% ID: ibm-not-wf-P42-ibm42n02.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P42-ibm42n02'(suite) -> [];
+'ibm-not-wf-P42-ibm42n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P42/ibm42n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P42/ibm42n03.xml
+%% ID: ibm-not-wf-P42-ibm42n03.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P42-ibm42n03'(suite) -> [];
+'ibm-not-wf-P42-ibm42n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P42/ibm42n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P42/ibm42n04.xml
+%% ID: ibm-not-wf-P42-ibm42n04.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P42-ibm42n04'(suite) -> [];
+'ibm-not-wf-P42-ibm42n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P42/ibm42n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P42/ibm42n05.xml
+%% ID: ibm-not-wf-P42-ibm42n05.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P42-ibm42n05'(suite) -> [];
+'ibm-not-wf-P42-ibm42n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P42/ibm42n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 42
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P43/ibm43n01.xml
+%% ID: ibm-not-wf-P43-ibm43n01.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P43-ibm43n01'(suite) -> [];
+'ibm-not-wf-P43-ibm43n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P43/ibm43n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P43/ibm43n02.xml
+%% ID: ibm-not-wf-P43-ibm43n02.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P43-ibm43n02'(suite) -> [];
+'ibm-not-wf-P43-ibm43n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P43/ibm43n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P43/ibm43n04.xml
+%% ID: ibm-not-wf-P43-ibm43n04.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P43-ibm43n04'(suite) -> [];
+'ibm-not-wf-P43-ibm43n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P43/ibm43n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P43/ibm43n05.xml
+%% ID: ibm-not-wf-P43-ibm43n05.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P43-ibm43n05'(suite) -> [];
+'ibm-not-wf-P43-ibm43n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P43/ibm43n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 43
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P44/ibm44n01.xml
+%% ID: ibm-not-wf-P44-ibm44n01.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P44-ibm44n01'(suite) -> [];
+'ibm-not-wf-P44-ibm44n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P44/ibm44n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P44/ibm44n02.xml
+%% ID: ibm-not-wf-P44-ibm44n02.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P44-ibm44n02'(suite) -> [];
+'ibm-not-wf-P44-ibm44n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P44/ibm44n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P44/ibm44n03.xml
+%% ID: ibm-not-wf-P44-ibm44n03.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P44-ibm44n03'(suite) -> [];
+'ibm-not-wf-P44-ibm44n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P44/ibm44n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P44/ibm44n04.xml
+%% ID: ibm-not-wf-P44-ibm44n04.xml
+%% Type: not-wf
+%% Sections: 3.1
+'ibm-not-wf-P44-ibm44n04'(suite) -> [];
+'ibm-not-wf-P44-ibm44n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P44/ibm44n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 44
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n01.xml
+%% ID: ibm-not-wf-P45-ibm45n01.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n01'(suite) -> [];
+'ibm-not-wf-P45-ibm45n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n02.xml
+%% ID: ibm-not-wf-P45-ibm45n02.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n02'(suite) -> [];
+'ibm-not-wf-P45-ibm45n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n03.xml
+%% ID: ibm-not-wf-P45-ibm45n03.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n03'(suite) -> [];
+'ibm-not-wf-P45-ibm45n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n04.xml
+%% ID: ibm-not-wf-P45-ibm45n04.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n04'(suite) -> [];
+'ibm-not-wf-P45-ibm45n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n05.xml
+%% ID: ibm-not-wf-P45-ibm45n05.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n05'(suite) -> [];
+'ibm-not-wf-P45-ibm45n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n06.xml
+%% ID: ibm-not-wf-P45-ibm45n06.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n06'(suite) -> [];
+'ibm-not-wf-P45-ibm45n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n07.xml
+%% ID: ibm-not-wf-P45-ibm45n07.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n07'(suite) -> [];
+'ibm-not-wf-P45-ibm45n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n08.xml
+%% ID: ibm-not-wf-P45-ibm45n08.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n08'(suite) -> [];
+'ibm-not-wf-P45-ibm45n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P45/ibm45n09.xml
+%% ID: ibm-not-wf-P45-ibm45n09.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P45-ibm45n09'(suite) -> [];
+'ibm-not-wf-P45-ibm45n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P45/ibm45n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 45
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P46/ibm46n01.xml
+%% ID: ibm-not-wf-P46-ibm46n01.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P46-ibm46n01'(suite) -> [];
+'ibm-not-wf-P46-ibm46n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P46/ibm46n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P46/ibm46n02.xml
+%% ID: ibm-not-wf-P46-ibm46n02.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P46-ibm46n02'(suite) -> [];
+'ibm-not-wf-P46-ibm46n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P46/ibm46n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P46/ibm46n03.xml
+%% ID: ibm-not-wf-P46-ibm46n03.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P46-ibm46n03'(suite) -> [];
+'ibm-not-wf-P46-ibm46n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P46/ibm46n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P46/ibm46n04.xml
+%% ID: ibm-not-wf-P46-ibm46n04.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P46-ibm46n04'(suite) -> [];
+'ibm-not-wf-P46-ibm46n04'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P46/ibm46n04.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P46/ibm46n05.xml
+%% ID: ibm-not-wf-P46-ibm46n05.xml
+%% Type: not-wf
+%% Sections: 3.2
+'ibm-not-wf-P46-ibm46n05'(suite) -> [];
+'ibm-not-wf-P46-ibm46n05'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P46/ibm46n05.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 46
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P47/ibm47n01.xml
+%% ID: ibm-not-wf-P47-ibm47n01.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P47-ibm47n01'(suite) -> [];
+'ibm-not-wf-P47-ibm47n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P47/ibm47n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P47/ibm47n02.xml
+%% ID: ibm-not-wf-P47-ibm47n02.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P47-ibm47n02'(suite) -> [];
+'ibm-not-wf-P47-ibm47n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P47/ibm47n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P47/ibm47n03.xml
+%% ID: ibm-not-wf-P47-ibm47n03.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P47-ibm47n03'(suite) -> [];
+'ibm-not-wf-P47-ibm47n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P47/ibm47n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P47/ibm47n04.xml
+%% ID: ibm-not-wf-P47-ibm47n04.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P47-ibm47n04'(suite) -> [];
+'ibm-not-wf-P47-ibm47n04'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P47/ibm47n04.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P47/ibm47n05.xml
+%% ID: ibm-not-wf-P47-ibm47n05.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P47-ibm47n05'(suite) -> [];
+'ibm-not-wf-P47-ibm47n05'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P47/ibm47n05.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P47/ibm47n06.xml
+%% ID: ibm-not-wf-P47-ibm47n06.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P47-ibm47n06'(suite) -> [];
+'ibm-not-wf-P47-ibm47n06'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P47/ibm47n06.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 47
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P48/ibm48n01.xml
+%% ID: ibm-not-wf-P48-ibm48n01.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P48-ibm48n01'(suite) -> [];
+'ibm-not-wf-P48-ibm48n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P48/ibm48n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P48/ibm48n02.xml
+%% ID: ibm-not-wf-P48-ibm48n02.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P48-ibm48n02'(suite) -> [];
+'ibm-not-wf-P48-ibm48n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P48/ibm48n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P48/ibm48n03.xml
+%% ID: ibm-not-wf-P48-ibm48n03.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P48-ibm48n03'(suite) -> [];
+'ibm-not-wf-P48-ibm48n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P48/ibm48n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P48/ibm48n04.xml
+%% ID: ibm-not-wf-P48-ibm48n04.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P48-ibm48n04'(suite) -> [];
+'ibm-not-wf-P48-ibm48n04'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P48/ibm48n04.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P48/ibm48n05.xml
+%% ID: ibm-not-wf-P48-ibm48n05.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P48-ibm48n05'(suite) -> [];
+'ibm-not-wf-P48-ibm48n05'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P48/ibm48n05.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P48/ibm48n06.xml
+%% ID: ibm-not-wf-P48-ibm48n06.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P48-ibm48n06'(suite) -> [];
+'ibm-not-wf-P48-ibm48n06'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P48/ibm48n06.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P48/ibm48n07.xml
+%% ID: ibm-not-wf-P48-ibm48n07.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P48-ibm48n07'(suite) -> [];
+'ibm-not-wf-P48-ibm48n07'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P48/ibm48n07.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 48
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P49/ibm49n01.xml
+%% ID: ibm-not-wf-P49-ibm49n01.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P49-ibm49n01'(suite) -> [];
+'ibm-not-wf-P49-ibm49n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P49/ibm49n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P49/ibm49n02.xml
+%% ID: ibm-not-wf-P49-ibm49n02.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P49-ibm49n02'(suite) -> [];
+'ibm-not-wf-P49-ibm49n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P49/ibm49n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P49/ibm49n03.xml
+%% ID: ibm-not-wf-P49-ibm49n03.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P49-ibm49n03'(suite) -> [];
+'ibm-not-wf-P49-ibm49n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P49/ibm49n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P49/ibm49n04.xml
+%% ID: ibm-not-wf-P49-ibm49n04.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P49-ibm49n04'(suite) -> [];
+'ibm-not-wf-P49-ibm49n04'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P49/ibm49n04.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P49/ibm49n05.xml
+%% ID: ibm-not-wf-P49-ibm49n05.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P49-ibm49n05'(suite) -> [];
+'ibm-not-wf-P49-ibm49n05'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P49/ibm49n05.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P49/ibm49n06.xml
+%% ID: ibm-not-wf-P49-ibm49n06.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P49-ibm49n06'(suite) -> [];
+'ibm-not-wf-P49-ibm49n06'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P49/ibm49n06.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 49
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P50/ibm50n01.xml
+%% ID: ibm-not-wf-P50-ibm50n01.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P50-ibm50n01'(suite) -> [];
+'ibm-not-wf-P50-ibm50n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P50/ibm50n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P50/ibm50n02.xml
+%% ID: ibm-not-wf-P50-ibm50n02.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P50-ibm50n02'(suite) -> [];
+'ibm-not-wf-P50-ibm50n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P50/ibm50n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P50/ibm50n03.xml
+%% ID: ibm-not-wf-P50-ibm50n03.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P50-ibm50n03'(suite) -> [];
+'ibm-not-wf-P50-ibm50n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P50/ibm50n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P50/ibm50n04.xml
+%% ID: ibm-not-wf-P50-ibm50n04.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P50-ibm50n04'(suite) -> [];
+'ibm-not-wf-P50-ibm50n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P50/ibm50n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P50/ibm50n05.xml
+%% ID: ibm-not-wf-P50-ibm50n05.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P50-ibm50n05'(suite) -> [];
+'ibm-not-wf-P50-ibm50n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P50/ibm50n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P50/ibm50n06.xml
+%% ID: ibm-not-wf-P50-ibm50n06.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P50-ibm50n06'(suite) -> [];
+'ibm-not-wf-P50-ibm50n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P50/ibm50n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P50/ibm50n07.xml
+%% ID: ibm-not-wf-P50-ibm50n07.xml
+%% Type: not-wf
+%% Sections: 3.2.1
+'ibm-not-wf-P50-ibm50n07'(suite) -> [];
+'ibm-not-wf-P50-ibm50n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P50/ibm50n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 50
+
+testcases67(suite) -> [].
+%% ['ibm-not-wf-P50-ibm50n01','ibm-not-wf-P50-ibm50n02','ibm-not-wf-P50-ibm50n03','ibm-not-wf-P50-ibm50n04','ibm-not-wf-P50-ibm50n05','ibm-not-wf-P50-ibm50n06','ibm-not-wf-P50-ibm50n07'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P51/ibm51n01.xml
+%% ID: ibm-not-wf-P51-ibm51n01.xml
+%% Type: not-wf
+%% Sections: 3.2.2
+'ibm-not-wf-P51-ibm51n01'(suite) -> [];
+'ibm-not-wf-P51-ibm51n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P51/ibm51n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P51/ibm51n02.xml
+%% ID: ibm-not-wf-P51-ibm51n02.xml
+%% Type: not-wf
+%% Sections: 3.2.2
+'ibm-not-wf-P51-ibm51n02'(suite) -> [];
+'ibm-not-wf-P51-ibm51n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P51/ibm51n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P51/ibm51n03.xml
+%% ID: ibm-not-wf-P51-ibm51n03.xml
+%% Type: not-wf
+%% Sections: 3.2.2
+'ibm-not-wf-P51-ibm51n03'(suite) -> [];
+'ibm-not-wf-P51-ibm51n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P51/ibm51n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P51/ibm51n04.xml
+%% ID: ibm-not-wf-P51-ibm51n04.xml
+%% Type: not-wf
+%% Sections: 3.2.2
+'ibm-not-wf-P51-ibm51n04'(suite) -> [];
+'ibm-not-wf-P51-ibm51n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P51/ibm51n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P51/ibm51n05.xml
+%% ID: ibm-not-wf-P51-ibm51n05.xml
+%% Type: not-wf
+%% Sections: 3.2.2
+'ibm-not-wf-P51-ibm51n05'(suite) -> [];
+'ibm-not-wf-P51-ibm51n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P51/ibm51n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P51/ibm51n06.xml
+%% ID: ibm-not-wf-P51-ibm51n06.xml
+%% Type: not-wf
+%% Sections: 3.2.2
+'ibm-not-wf-P51-ibm51n06'(suite) -> [];
+'ibm-not-wf-P51-ibm51n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P51/ibm51n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P51/ibm51n07.xml
+%% ID: ibm-not-wf-P51-ibm51n07.xml
+%% Type: not-wf
+%% Sections: 3.2.2
+'ibm-not-wf-P51-ibm51n07'(suite) -> [];
+'ibm-not-wf-P51-ibm51n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P51/ibm51n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 51
+
+testcases68(suite) -> [].
+%% ['ibm-not-wf-P51-ibm51n01','ibm-not-wf-P51-ibm51n02','ibm-not-wf-P51-ibm51n03','ibm-not-wf-P51-ibm51n04','ibm-not-wf-P51-ibm51n05','ibm-not-wf-P51-ibm51n06','ibm-not-wf-P51-ibm51n07'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P52/ibm52n01.xml
+%% ID: ibm-not-wf-P52-ibm52n01.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P52-ibm52n01'(suite) -> [];
+'ibm-not-wf-P52-ibm52n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P52/ibm52n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P52/ibm52n02.xml
+%% ID: ibm-not-wf-P52-ibm52n02.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P52-ibm52n02'(suite) -> [];
+'ibm-not-wf-P52-ibm52n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P52/ibm52n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P52/ibm52n03.xml
+%% ID: ibm-not-wf-P52-ibm52n03.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P52-ibm52n03'(suite) -> [];
+'ibm-not-wf-P52-ibm52n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P52/ibm52n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P52/ibm52n04.xml
+%% ID: ibm-not-wf-P52-ibm52n04.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P52-ibm52n04'(suite) -> [];
+'ibm-not-wf-P52-ibm52n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P52/ibm52n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P52/ibm52n05.xml
+%% ID: ibm-not-wf-P52-ibm52n05.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P52-ibm52n05'(suite) -> [];
+'ibm-not-wf-P52-ibm52n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P52/ibm52n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P52/ibm52n06.xml
+%% ID: ibm-not-wf-P52-ibm52n06.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P52-ibm52n06'(suite) -> [];
+'ibm-not-wf-P52-ibm52n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P52/ibm52n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 52
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n01.xml
+%% ID: ibm-not-wf-P53-ibm53n01.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n01'(suite) -> [];
+'ibm-not-wf-P53-ibm53n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n02.xml
+%% ID: ibm-not-wf-P53-ibm53n02.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n02'(suite) -> [];
+'ibm-not-wf-P53-ibm53n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n03.xml
+%% ID: ibm-not-wf-P53-ibm53n03.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n03'(suite) -> [];
+'ibm-not-wf-P53-ibm53n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n04.xml
+%% ID: ibm-not-wf-P53-ibm53n04.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n04'(suite) -> [];
+'ibm-not-wf-P53-ibm53n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n05.xml
+%% ID: ibm-not-wf-P53-ibm53n05.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n05'(suite) -> [];
+'ibm-not-wf-P53-ibm53n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n06.xml
+%% ID: ibm-not-wf-P53-ibm53n06.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n06'(suite) -> [];
+'ibm-not-wf-P53-ibm53n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n07.xml
+%% ID: ibm-not-wf-P53-ibm53n07.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n07'(suite) -> [];
+'ibm-not-wf-P53-ibm53n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P53/ibm53n08.xml
+%% ID: ibm-not-wf-P53-ibm53n08.xml
+%% Type: not-wf
+%% Sections: 3.3
+'ibm-not-wf-P53-ibm53n08'(suite) -> [];
+'ibm-not-wf-P53-ibm53n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P53/ibm53n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 53
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P54/ibm54n01.xml
+%% ID: ibm-not-wf-P54-ibm54n01.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P54-ibm54n01'(suite) -> [];
+'ibm-not-wf-P54-ibm54n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P54/ibm54n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P54/ibm54n02.xml
+%% ID: ibm-not-wf-P54-ibm54n02.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P54-ibm54n02'(suite) -> [];
+'ibm-not-wf-P54-ibm54n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P54/ibm54n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 54
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P55/ibm55n01.xml
+%% ID: ibm-not-wf-P55-ibm55n01.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P55-ibm55n01'(suite) -> [];
+'ibm-not-wf-P55-ibm55n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P55/ibm55n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P55/ibm55n02.xml
+%% ID: ibm-not-wf-P55-ibm55n02.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P55-ibm55n02'(suite) -> [];
+'ibm-not-wf-P55-ibm55n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P55/ibm55n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P55/ibm55n03.xml
+%% ID: ibm-not-wf-P55-ibm55n03.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P55-ibm55n03'(suite) -> [];
+'ibm-not-wf-P55-ibm55n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P55/ibm55n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 55
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P56/ibm56n01.xml
+%% ID: ibm-not-wf-P56-ibm56n01.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P56-ibm56n01'(suite) -> [];
+'ibm-not-wf-P56-ibm56n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P56/ibm56n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P56/ibm56n02.xml
+%% ID: ibm-not-wf-P56-ibm56n02.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P56-ibm56n02'(suite) -> [];
+'ibm-not-wf-P56-ibm56n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P56/ibm56n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P56/ibm56n03.xml
+%% ID: ibm-not-wf-P56-ibm56n03.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P56-ibm56n03'(suite) -> [];
+'ibm-not-wf-P56-ibm56n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P56/ibm56n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P56/ibm56n04.xml
+%% ID: ibm-not-wf-P56-ibm56n04.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P56-ibm56n04'(suite) -> [];
+'ibm-not-wf-P56-ibm56n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P56/ibm56n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P56/ibm56n05.xml
+%% ID: ibm-not-wf-P56-ibm56n05.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P56-ibm56n05'(suite) -> [];
+'ibm-not-wf-P56-ibm56n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P56/ibm56n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P56/ibm56n06.xml
+%% ID: ibm-not-wf-P56-ibm56n06.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P56-ibm56n06'(suite) -> [];
+'ibm-not-wf-P56-ibm56n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P56/ibm56n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P56/ibm56n07.xml
+%% ID: ibm-not-wf-P56-ibm56n07.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P56-ibm56n07'(suite) -> [];
+'ibm-not-wf-P56-ibm56n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P56/ibm56n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 56
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P57/ibm57n01.xml
+%% ID: ibm-not-wf-P57-ibm57n01.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P57-ibm57n01'(suite) -> [];
+'ibm-not-wf-P57-ibm57n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P57/ibm57n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 57
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n01.xml
+%% ID: ibm-not-wf-P58-ibm58n01.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n01'(suite) -> [];
+'ibm-not-wf-P58-ibm58n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n02.xml
+%% ID: ibm-not-wf-P58-ibm58n02.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n02'(suite) -> [];
+'ibm-not-wf-P58-ibm58n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n03.xml
+%% ID: ibm-not-wf-P58-ibm58n03.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n03'(suite) -> [];
+'ibm-not-wf-P58-ibm58n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n04.xml
+%% ID: ibm-not-wf-P58-ibm58n04.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n04'(suite) -> [];
+'ibm-not-wf-P58-ibm58n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n05.xml
+%% ID: ibm-not-wf-P58-ibm58n05.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n05'(suite) -> [];
+'ibm-not-wf-P58-ibm58n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n06.xml
+%% ID: ibm-not-wf-P58-ibm58n06.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n06'(suite) -> [];
+'ibm-not-wf-P58-ibm58n06'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n06.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n07.xml
+%% ID: ibm-not-wf-P58-ibm58n07.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n07'(suite) -> [];
+'ibm-not-wf-P58-ibm58n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P58/ibm58n08.xml
+%% ID: ibm-not-wf-P58-ibm58n08.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P58-ibm58n08'(suite) -> [];
+'ibm-not-wf-P58-ibm58n08'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P58/ibm58n08.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 58
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P59/ibm59n01.xml
+%% ID: ibm-not-wf-P59-ibm59n01.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P59-ibm59n01'(suite) -> [];
+'ibm-not-wf-P59-ibm59n01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P59/ibm59n01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P59/ibm59n02.xml
+%% ID: ibm-not-wf-P59-ibm59n02.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P59-ibm59n02'(suite) -> [];
+'ibm-not-wf-P59-ibm59n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P59/ibm59n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P59/ibm59n03.xml
+%% ID: ibm-not-wf-P59-ibm59n03.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P59-ibm59n03'(suite) -> [];
+'ibm-not-wf-P59-ibm59n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P59/ibm59n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P59/ibm59n04.xml
+%% ID: ibm-not-wf-P59-ibm59n04.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P59-ibm59n04'(suite) -> [];
+'ibm-not-wf-P59-ibm59n04'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P59/ibm59n04.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P59/ibm59n05.xml
+%% ID: ibm-not-wf-P59-ibm59n05.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P59-ibm59n05'(suite) -> [];
+'ibm-not-wf-P59-ibm59n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P59/ibm59n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P59/ibm59n06.xml
+%% ID: ibm-not-wf-P59-ibm59n06.xml
+%% Type: not-wf
+%% Sections: 3.3.1
+'ibm-not-wf-P59-ibm59n06'(suite) -> [];
+'ibm-not-wf-P59-ibm59n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P59/ibm59n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 59
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n01.xml
+%% ID: ibm-not-wf-P60-ibm60n01.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n01'(suite) -> [];
+'ibm-not-wf-P60-ibm60n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n02.xml
+%% ID: ibm-not-wf-P60-ibm60n02.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n02'(suite) -> [];
+'ibm-not-wf-P60-ibm60n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n03.xml
+%% ID: ibm-not-wf-P60-ibm60n03.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n03'(suite) -> [];
+'ibm-not-wf-P60-ibm60n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n04.xml
+%% ID: ibm-not-wf-P60-ibm60n04.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n04'(suite) -> [];
+'ibm-not-wf-P60-ibm60n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n05.xml
+%% ID: ibm-not-wf-P60-ibm60n05.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n05'(suite) -> [];
+'ibm-not-wf-P60-ibm60n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n06.xml
+%% ID: ibm-not-wf-P60-ibm60n06.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n06'(suite) -> [];
+'ibm-not-wf-P60-ibm60n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n07.xml
+%% ID: ibm-not-wf-P60-ibm60n07.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n07'(suite) -> [];
+'ibm-not-wf-P60-ibm60n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P60/ibm60n08.xml
+%% ID: ibm-not-wf-P60-ibm60n08.xml
+%% Type: not-wf
+%% Sections: 3.3.2
+'ibm-not-wf-P60-ibm60n08'(suite) -> [];
+'ibm-not-wf-P60-ibm60n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P60/ibm60n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 60
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P61/ibm61n01.xml
+%% ID: ibm-not-wf-P61-ibm61n01.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P61-ibm61n01'(suite) -> [];
+'ibm-not-wf-P61-ibm61n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P61/ibm61n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 61
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n01.xml
+%% ID: ibm-not-wf-P62-ibm62n01.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n01'(suite) -> [];
+'ibm-not-wf-P62-ibm62n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n02.xml
+%% ID: ibm-not-wf-P62-ibm62n02.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n02'(suite) -> [];
+'ibm-not-wf-P62-ibm62n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n03.xml
+%% ID: ibm-not-wf-P62-ibm62n03.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n03'(suite) -> [];
+'ibm-not-wf-P62-ibm62n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n04.xml
+%% ID: ibm-not-wf-P62-ibm62n04.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n04'(suite) -> [];
+'ibm-not-wf-P62-ibm62n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n05.xml
+%% ID: ibm-not-wf-P62-ibm62n05.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n05'(suite) -> [];
+'ibm-not-wf-P62-ibm62n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n06.xml
+%% ID: ibm-not-wf-P62-ibm62n06.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n06'(suite) -> [];
+'ibm-not-wf-P62-ibm62n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n07.xml
+%% ID: ibm-not-wf-P62-ibm62n07.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n07'(suite) -> [];
+'ibm-not-wf-P62-ibm62n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P62/ibm62n08.xml
+%% ID: ibm-not-wf-P62-ibm62n08.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P62-ibm62n08'(suite) -> [];
+'ibm-not-wf-P62-ibm62n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P62/ibm62n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 62
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P63/ibm63n01.xml
+%% ID: ibm-not-wf-P63-ibm63n01.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P63-ibm63n01'(suite) -> [];
+'ibm-not-wf-P63-ibm63n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P63/ibm63n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P63/ibm63n02.xml
+%% ID: ibm-not-wf-P63-ibm63n02.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P63-ibm63n02'(suite) -> [];
+'ibm-not-wf-P63-ibm63n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P63/ibm63n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P63/ibm63n03.xml
+%% ID: ibm-not-wf-P63-ibm63n03.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P63-ibm63n03'(suite) -> [];
+'ibm-not-wf-P63-ibm63n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P63/ibm63n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P63/ibm63n04.xml
+%% ID: ibm-not-wf-P63-ibm63n04.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P63-ibm63n04'(suite) -> [];
+'ibm-not-wf-P63-ibm63n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P63/ibm63n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P63/ibm63n05.xml
+%% ID: ibm-not-wf-P63-ibm63n05.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P63-ibm63n05'(suite) -> [];
+'ibm-not-wf-P63-ibm63n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P63/ibm63n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P63/ibm63n06.xml
+%% ID: ibm-not-wf-P63-ibm63n06.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P63-ibm63n06'(suite) -> [];
+'ibm-not-wf-P63-ibm63n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P63/ibm63n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P63/ibm63n07.xml
+%% ID: ibm-not-wf-P63-ibm63n07.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P63-ibm63n07'(suite) -> [];
+'ibm-not-wf-P63-ibm63n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P63/ibm63n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 63
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P64/ibm64n01.xml
+%% ID: ibm-not-wf-P64-ibm64n01.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P64-ibm64n01'(suite) -> [];
+'ibm-not-wf-P64-ibm64n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P64/ibm64n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P64/ibm64n02.xml
+%% ID: ibm-not-wf-P64-ibm64n02.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P64-ibm64n02'(suite) -> [];
+'ibm-not-wf-P64-ibm64n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P64/ibm64n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P64/ibm64n03.xml
+%% ID: ibm-not-wf-P64-ibm64n03.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P64-ibm64n03'(suite) -> [];
+'ibm-not-wf-P64-ibm64n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P64/ibm64n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 64
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P65/ibm65n01.xml
+%% ID: ibm-not-wf-P65-ibm65n01.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P65-ibm65n01'(suite) -> [];
+'ibm-not-wf-P65-ibm65n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P65/ibm65n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P65/ibm65n02.xml
+%% ID: ibm-not-wf-P65-ibm65n02.xml
+%% Type: not-wf
+%% Sections: 3.4
+'ibm-not-wf-P65-ibm65n02'(suite) -> [];
+'ibm-not-wf-P65-ibm65n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P65/ibm65n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 65
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n01.xml
+%% ID: ibm-not-wf-P66-ibm66n01.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n01'(suite) -> [];
+'ibm-not-wf-P66-ibm66n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n02.xml
+%% ID: ibm-not-wf-P66-ibm66n02.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n02'(suite) -> [];
+'ibm-not-wf-P66-ibm66n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n03.xml
+%% ID: ibm-not-wf-P66-ibm66n03.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n03'(suite) -> [];
+'ibm-not-wf-P66-ibm66n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n04.xml
+%% ID: ibm-not-wf-P66-ibm66n04.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n04'(suite) -> [];
+'ibm-not-wf-P66-ibm66n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n05.xml
+%% ID: ibm-not-wf-P66-ibm66n05.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n05'(suite) -> [];
+'ibm-not-wf-P66-ibm66n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n06.xml
+%% ID: ibm-not-wf-P66-ibm66n06.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n06'(suite) -> [];
+'ibm-not-wf-P66-ibm66n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n07.xml
+%% ID: ibm-not-wf-P66-ibm66n07.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n07'(suite) -> [];
+'ibm-not-wf-P66-ibm66n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n08.xml
+%% ID: ibm-not-wf-P66-ibm66n08.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n08'(suite) -> [];
+'ibm-not-wf-P66-ibm66n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n09.xml
+%% ID: ibm-not-wf-P66-ibm66n09.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n09'(suite) -> [];
+'ibm-not-wf-P66-ibm66n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n10.xml
+%% ID: ibm-not-wf-P66-ibm66n10.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n10'(suite) -> [];
+'ibm-not-wf-P66-ibm66n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n11.xml
+%% ID: ibm-not-wf-P66-ibm66n11.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n11'(suite) -> [];
+'ibm-not-wf-P66-ibm66n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n12.xml
+%% ID: ibm-not-wf-P66-ibm66n12.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n12'(suite) -> [];
+'ibm-not-wf-P66-ibm66n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n13.xml
+%% ID: ibm-not-wf-P66-ibm66n13.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n13'(suite) -> [];
+'ibm-not-wf-P66-ibm66n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n14.xml
+%% ID: ibm-not-wf-P66-ibm66n14.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n14'(suite) -> [];
+'ibm-not-wf-P66-ibm66n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P66/ibm66n15.xml
+%% ID: ibm-not-wf-P66-ibm66n15.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P66-ibm66n15'(suite) -> [];
+'ibm-not-wf-P66-ibm66n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P66/ibm66n15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 66
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n01.xml
+%% ID: ibm-not-wf-P68-ibm68n01.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n01'(suite) -> [];
+'ibm-not-wf-P68-ibm68n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n02.xml
+%% ID: ibm-not-wf-P68-ibm68n02.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n02'(suite) -> [];
+'ibm-not-wf-P68-ibm68n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n03.xml
+%% ID: ibm-not-wf-P68-ibm68n03.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n03'(suite) -> [];
+'ibm-not-wf-P68-ibm68n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n04.xml
+%% ID: ibm-not-wf-P68-ibm68n04.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n04'(suite) -> [];
+'ibm-not-wf-P68-ibm68n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n05.xml
+%% ID: ibm-not-wf-P68-ibm68n05.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n05'(suite) -> [];
+'ibm-not-wf-P68-ibm68n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n06.xml
+%% ID: ibm-not-wf-P68-ibm68n06.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n06'(suite) -> [];
+'ibm-not-wf-P68-ibm68n06'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n06.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n07.xml
+%% ID: ibm-not-wf-P68-ibm68n07.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n07'(suite) -> [];
+'ibm-not-wf-P68-ibm68n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n08.xml
+%% ID: ibm-not-wf-P68-ibm68n08.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n08'(suite) -> [];
+'ibm-not-wf-P68-ibm68n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n09.xml
+%% ID: ibm-not-wf-P68-ibm68n09.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n09'(suite) -> [];
+'ibm-not-wf-P68-ibm68n09'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n09.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P68/ibm68n10.xml
+%% ID: ibm-not-wf-P68-ibm68n10.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P68-ibm68n10'(suite) -> [];
+'ibm-not-wf-P68-ibm68n10'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P68/ibm68n10.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 68
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P69/ibm69n01.xml
+%% ID: ibm-not-wf-P69-ibm69n01.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P69-ibm69n01'(suite) -> [];
+'ibm-not-wf-P69-ibm69n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P69/ibm69n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P69/ibm69n02.xml
+%% ID: ibm-not-wf-P69-ibm69n02.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P69-ibm69n02'(suite) -> [];
+'ibm-not-wf-P69-ibm69n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P69/ibm69n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P69/ibm69n03.xml
+%% ID: ibm-not-wf-P69-ibm69n03.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P69-ibm69n03'(suite) -> [];
+'ibm-not-wf-P69-ibm69n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P69/ibm69n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P69/ibm69n04.xml
+%% ID: ibm-not-wf-P69-ibm69n04.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P69-ibm69n04'(suite) -> [];
+'ibm-not-wf-P69-ibm69n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P69/ibm69n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P69/ibm69n05.xml
+%% ID: ibm-not-wf-P69-ibm69n05.xml
+%% Type: error
+%% Sections: 4.1
+'ibm-not-wf-P69-ibm69n05'(suite) -> [];
+'ibm-not-wf-P69-ibm69n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P69/ibm69n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "error").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P69/ibm69n06.xml
+%% ID: ibm-not-wf-P69-ibm69n06.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P69-ibm69n06'(suite) -> [];
+'ibm-not-wf-P69-ibm69n06'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P69/ibm69n06.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P69/ibm69n07.xml
+%% ID: ibm-not-wf-P69-ibm69n07.xml
+%% Type: not-wf
+%% Sections: 4.1
+'ibm-not-wf-P69-ibm69n07'(suite) -> [];
+'ibm-not-wf-P69-ibm69n07'(_Config) -> {skip, "No loop detection yet"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P69/ibm69n07.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 69
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm70n01.xml
+%% ID: ibm-not-wf-P71-ibm70n01.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm70n01'(suite) -> [];
+'ibm-not-wf-P71-ibm70n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm70n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n01.xml
+%% ID: ibm-not-wf-P71-ibm71n01.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n01'(suite) -> [];
+'ibm-not-wf-P71-ibm71n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n02.xml
+%% ID: ibm-not-wf-P71-ibm71n02.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n02'(suite) -> [];
+'ibm-not-wf-P71-ibm71n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n03.xml
+%% ID: ibm-not-wf-P71-ibm71n03.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n03'(suite) -> [];
+'ibm-not-wf-P71-ibm71n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n04.xml
+%% ID: ibm-not-wf-P71-ibm71n04.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n04'(suite) -> [];
+'ibm-not-wf-P71-ibm71n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n05.xml
+%% ID: ibm-not-wf-P71-ibm71n05.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n05'(suite) -> [];
+'ibm-not-wf-P71-ibm71n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n06.xml
+%% ID: ibm-not-wf-P71-ibm71n06.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n06'(suite) -> [];
+'ibm-not-wf-P71-ibm71n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n07.xml
+%% ID: ibm-not-wf-P71-ibm71n07.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n07'(suite) -> [];
+'ibm-not-wf-P71-ibm71n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P71/ibm71n08.xml
+%% ID: ibm-not-wf-P71-ibm71n08.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P71-ibm71n08'(suite) -> [];
+'ibm-not-wf-P71-ibm71n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P71/ibm71n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 71
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n01.xml
+%% ID: ibm-not-wf-P72-ibm72n01.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n01'(suite) -> [];
+'ibm-not-wf-P72-ibm72n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n02.xml
+%% ID: ibm-not-wf-P72-ibm72n02.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n02'(suite) -> [];
+'ibm-not-wf-P72-ibm72n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n03.xml
+%% ID: ibm-not-wf-P72-ibm72n03.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n03'(suite) -> [];
+'ibm-not-wf-P72-ibm72n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n04.xml
+%% ID: ibm-not-wf-P72-ibm72n04.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n04'(suite) -> [];
+'ibm-not-wf-P72-ibm72n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n05.xml
+%% ID: ibm-not-wf-P72-ibm72n05.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n05'(suite) -> [];
+'ibm-not-wf-P72-ibm72n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n06.xml
+%% ID: ibm-not-wf-P72-ibm72n06.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n06'(suite) -> [];
+'ibm-not-wf-P72-ibm72n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n07.xml
+%% ID: ibm-not-wf-P72-ibm72n07.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n07'(suite) -> [];
+'ibm-not-wf-P72-ibm72n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n08.xml
+%% ID: ibm-not-wf-P72-ibm72n08.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n08'(suite) -> [];
+'ibm-not-wf-P72-ibm72n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P72/ibm72n09.xml
+%% ID: ibm-not-wf-P72-ibm72n09.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P72-ibm72n09'(suite) -> [];
+'ibm-not-wf-P72-ibm72n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P72/ibm72n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 72
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P73/ibm73n01.xml
+%% ID: ibm-not-wf-P73-ibm73n01.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P73-ibm73n01'(suite) -> [];
+'ibm-not-wf-P73-ibm73n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P73/ibm73n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P73/ibm73n03.xml
+%% ID: ibm-not-wf-P73-ibm73n03.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P73-ibm73n03'(suite) -> [];
+'ibm-not-wf-P73-ibm73n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P73/ibm73n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 73
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P74/ibm74n01.xml
+%% ID: ibm-not-wf-P74-ibm74n01.xml
+%% Type: not-wf
+%% Sections: 4.2
+'ibm-not-wf-P74-ibm74n01'(suite) -> [];
+'ibm-not-wf-P74-ibm74n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P74/ibm74n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 74
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n01.xml
+%% ID: ibm-not-wf-P75-ibm75n01.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n01'(suite) -> [];
+'ibm-not-wf-P75-ibm75n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n02.xml
+%% ID: ibm-not-wf-P75-ibm75n02.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n02'(suite) -> [];
+'ibm-not-wf-P75-ibm75n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n03.xml
+%% ID: ibm-not-wf-P75-ibm75n03.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n03'(suite) -> [];
+'ibm-not-wf-P75-ibm75n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n04.xml
+%% ID: ibm-not-wf-P75-ibm75n04.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n04'(suite) -> [];
+'ibm-not-wf-P75-ibm75n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n05.xml
+%% ID: ibm-not-wf-P75-ibm75n05.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n05'(suite) -> [];
+'ibm-not-wf-P75-ibm75n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n06.xml
+%% ID: ibm-not-wf-P75-ibm75n06.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n06'(suite) -> [];
+'ibm-not-wf-P75-ibm75n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n07.xml
+%% ID: ibm-not-wf-P75-ibm75n07.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n07'(suite) -> [];
+'ibm-not-wf-P75-ibm75n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n08.xml
+%% ID: ibm-not-wf-P75-ibm75n08.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n08'(suite) -> [];
+'ibm-not-wf-P75-ibm75n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n09.xml
+%% ID: ibm-not-wf-P75-ibm75n09.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n09'(suite) -> [];
+'ibm-not-wf-P75-ibm75n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n10.xml
+%% ID: ibm-not-wf-P75-ibm75n10.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n10'(suite) -> [];
+'ibm-not-wf-P75-ibm75n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n11.xml
+%% ID: ibm-not-wf-P75-ibm75n11.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n11'(suite) -> [];
+'ibm-not-wf-P75-ibm75n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n12.xml
+%% ID: ibm-not-wf-P75-ibm75n12.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n12'(suite) -> [];
+'ibm-not-wf-P75-ibm75n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P75/ibm75n13.xml
+%% ID: ibm-not-wf-P75-ibm75n13.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P75-ibm75n13'(suite) -> [];
+'ibm-not-wf-P75-ibm75n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P75/ibm75n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 75
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P76/ibm76n01.xml
+%% ID: ibm-not-wf-P76-ibm76n01.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P76-ibm76n01'(suite) -> [];
+'ibm-not-wf-P76-ibm76n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P76/ibm76n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P76/ibm76n02.xml
+%% ID: ibm-not-wf-P76-ibm76n02.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P76-ibm76n02'(suite) -> [];
+'ibm-not-wf-P76-ibm76n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P76/ibm76n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P76/ibm76n03.xml
+%% ID: ibm-not-wf-P76-ibm76n03.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P76-ibm76n03'(suite) -> [];
+'ibm-not-wf-P76-ibm76n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P76/ibm76n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P76/ibm76n04.xml
+%% ID: ibm-not-wf-P76-ibm76n04.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P76-ibm76n04'(suite) -> [];
+'ibm-not-wf-P76-ibm76n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P76/ibm76n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P76/ibm76n05.xml
+%% ID: ibm-not-wf-P76-ibm76n05.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P76-ibm76n05'(suite) -> [];
+'ibm-not-wf-P76-ibm76n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P76/ibm76n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P76/ibm76n06.xml
+%% ID: ibm-not-wf-P76-ibm76n06.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P76-ibm76n06'(suite) -> [];
+'ibm-not-wf-P76-ibm76n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P76/ibm76n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P76/ibm76n07.xml
+%% ID: ibm-not-wf-P76-ibm76n07.xml
+%% Type: not-wf
+%% Sections: 4.2.2
+'ibm-not-wf-P76-ibm76n07'(suite) -> [];
+'ibm-not-wf-P76-ibm76n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P76/ibm76n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 76
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P77/ibm77n01.xml
+%% ID: ibm-not-wf-P77-ibm77n01.xml
+%% Type: not-wf
+%% Sections: 4.3.1
+'ibm-not-wf-P77-ibm77n01'(suite) -> [];
+'ibm-not-wf-P77-ibm77n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P77/ibm77n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P77/ibm77n02.xml
+%% ID: ibm-not-wf-P77-ibm77n02.xml
+%% Type: not-wf
+%% Sections: 4.3.1
+'ibm-not-wf-P77-ibm77n02'(suite) -> [];
+'ibm-not-wf-P77-ibm77n02'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P77/ibm77n02.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P77/ibm77n03.xml
+%% ID: ibm-not-wf-P77-ibm77n03.xml
+%% Type: not-wf
+%% Sections: 4.3.1
+'ibm-not-wf-P77-ibm77n03'(suite) -> [];
+'ibm-not-wf-P77-ibm77n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P77/ibm77n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P77/ibm77n04.xml
+%% ID: ibm-not-wf-P77-ibm77n04.xml
+%% Type: not-wf
+%% Sections: 4.3.1
+'ibm-not-wf-P77-ibm77n04'(suite) -> [];
+'ibm-not-wf-P77-ibm77n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P77/ibm77n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 77
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P78/ibm78n01.xml
+%% ID: ibm-not-wf-P78-ibm78n01.xml
+%% Type: not-wf
+%% Sections: 4.3.2
+'ibm-not-wf-P78-ibm78n01'(suite) -> [];
+'ibm-not-wf-P78-ibm78n01'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P78/ibm78n01.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P78/ibm78n02.xml
+%% ID: ibm-not-wf-P78-ibm78n02.xml
+%% Type: not-wf
+%% Sections: 4.3.2
+'ibm-not-wf-P78-ibm78n02'(suite) -> [];
+'ibm-not-wf-P78-ibm78n02'(Config) -> {skip, "Fix 3"}.
+ %%?line file:set_cwd(?config(data_dir,Config)),
+ %%?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P78/ibm78n02.xml"]),
+ %%?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ %%?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 78
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P79/ibm79n01.xml
+%% ID: ibm-not-wf-P79-ibm79n01.xml
+%% Type: not-wf
+%% Sections: 4.3.2
+'ibm-not-wf-P79-ibm79n01'(suite) -> [];
+'ibm-not-wf-P79-ibm79n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P79/ibm79n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P79/ibm79n02.xml
+%% ID: ibm-not-wf-P79-ibm79n02.xml
+%% Type: not-wf
+%% Sections: 4.3.2
+'ibm-not-wf-P79-ibm79n02'(suite) -> [];
+'ibm-not-wf-P79-ibm79n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P79/ibm79n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 79
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P80/ibm80n01.xml
+%% ID: ibm-not-wf-P80-ibm80n01.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P80-ibm80n01'(suite) -> [];
+'ibm-not-wf-P80-ibm80n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P80/ibm80n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P80/ibm80n02.xml
+%% ID: ibm-not-wf-P80-ibm80n02.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P80-ibm80n02'(suite) -> [];
+'ibm-not-wf-P80-ibm80n02'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P80/ibm80n02.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P80/ibm80n03.xml
+%% ID: ibm-not-wf-P80-ibm80n03.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P80-ibm80n03'(suite) -> [];
+'ibm-not-wf-P80-ibm80n03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P80/ibm80n03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P80/ibm80n04.xml
+%% ID: ibm-not-wf-P80-ibm80n04.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P80-ibm80n04'(suite) -> [];
+'ibm-not-wf-P80-ibm80n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P80/ibm80n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P80/ibm80n05.xml
+%% ID: ibm-not-wf-P80-ibm80n05.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P80-ibm80n05'(suite) -> [];
+'ibm-not-wf-P80-ibm80n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P80/ibm80n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P80/ibm80n06.xml
+%% ID: ibm-not-wf-P80-ibm80n06.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P80-ibm80n06'(suite) -> [];
+'ibm-not-wf-P80-ibm80n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P80/ibm80n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 80
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n01.xml
+%% ID: ibm-not-wf-P81-ibm81n01.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n01'(suite) -> [];
+'ibm-not-wf-P81-ibm81n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n02.xml
+%% ID: ibm-not-wf-P81-ibm81n02.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n02'(suite) -> [];
+'ibm-not-wf-P81-ibm81n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n03.xml
+%% ID: ibm-not-wf-P81-ibm81n03.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n03'(suite) -> [];
+'ibm-not-wf-P81-ibm81n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n04.xml
+%% ID: ibm-not-wf-P81-ibm81n04.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n04'(suite) -> [];
+'ibm-not-wf-P81-ibm81n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n05.xml
+%% ID: ibm-not-wf-P81-ibm81n05.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n05'(suite) -> [];
+'ibm-not-wf-P81-ibm81n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n06.xml
+%% ID: ibm-not-wf-P81-ibm81n06.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n06'(suite) -> [];
+'ibm-not-wf-P81-ibm81n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n07.xml
+%% ID: ibm-not-wf-P81-ibm81n07.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n07'(suite) -> [];
+'ibm-not-wf-P81-ibm81n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n08.xml
+%% ID: ibm-not-wf-P81-ibm81n08.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n08'(suite) -> [];
+'ibm-not-wf-P81-ibm81n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P81/ibm81n09.xml
+%% ID: ibm-not-wf-P81-ibm81n09.xml
+%% Type: not-wf
+%% Sections: 4.3.3
+'ibm-not-wf-P81-ibm81n09'(suite) -> [];
+'ibm-not-wf-P81-ibm81n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P81/ibm81n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 81
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n01.xml
+%% ID: ibm-not-wf-P82-ibm82n01.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n01'(suite) -> [];
+'ibm-not-wf-P82-ibm82n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n02.xml
+%% ID: ibm-not-wf-P82-ibm82n02.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n02'(suite) -> [];
+'ibm-not-wf-P82-ibm82n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n03.xml
+%% ID: ibm-not-wf-P82-ibm82n03.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n03'(suite) -> [];
+'ibm-not-wf-P82-ibm82n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n04.xml
+%% ID: ibm-not-wf-P82-ibm82n04.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n04'(suite) -> [];
+'ibm-not-wf-P82-ibm82n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n05.xml
+%% ID: ibm-not-wf-P82-ibm82n05.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n05'(suite) -> [];
+'ibm-not-wf-P82-ibm82n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n06.xml
+%% ID: ibm-not-wf-P82-ibm82n06.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n06'(suite) -> [];
+'ibm-not-wf-P82-ibm82n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n07.xml
+%% ID: ibm-not-wf-P82-ibm82n07.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n07'(suite) -> [];
+'ibm-not-wf-P82-ibm82n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P82/ibm82n08.xml
+%% ID: ibm-not-wf-P82-ibm82n08.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P82-ibm82n08'(suite) -> [];
+'ibm-not-wf-P82-ibm82n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P82/ibm82n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 82
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P83/ibm83n01.xml
+%% ID: ibm-not-wf-P83-ibm83n01.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P83-ibm83n01'(suite) -> [];
+'ibm-not-wf-P83-ibm83n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P83/ibm83n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P83/ibm83n02.xml
+%% ID: ibm-not-wf-P83-ibm83n02.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P83-ibm83n02'(suite) -> [];
+'ibm-not-wf-P83-ibm83n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P83/ibm83n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P83/ibm83n03.xml
+%% ID: ibm-not-wf-P83-ibm83n03.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P83-ibm83n03'(suite) -> [];
+'ibm-not-wf-P83-ibm83n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P83/ibm83n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P83/ibm83n04.xml
+%% ID: ibm-not-wf-P83-ibm83n04.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P83-ibm83n04'(suite) -> [];
+'ibm-not-wf-P83-ibm83n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P83/ibm83n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P83/ibm83n05.xml
+%% ID: ibm-not-wf-P83-ibm83n05.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P83-ibm83n05'(suite) -> [];
+'ibm-not-wf-P83-ibm83n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P83/ibm83n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P83/ibm83n06.xml
+%% ID: ibm-not-wf-P83-ibm83n06.xml
+%% Type: not-wf
+%% Sections: 4.7
+'ibm-not-wf-P83-ibm83n06'(suite) -> [];
+'ibm-not-wf-P83-ibm83n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P83/ibm83n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 83
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n01.xml
+%% ID: ibm-not-wf-P85-ibm85n01.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n01'(suite) -> [];
+'ibm-not-wf-P85-ibm85n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n02.xml
+%% ID: ibm-not-wf-P85-ibm85n02.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n02'(suite) -> [];
+'ibm-not-wf-P85-ibm85n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n03.xml
+%% ID: ibm-not-wf-P85-ibm85n03.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n03'(suite) -> [];
+'ibm-not-wf-P85-ibm85n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n04.xml
+%% ID: ibm-not-wf-P85-ibm85n04.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n04'(suite) -> [];
+'ibm-not-wf-P85-ibm85n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n05.xml
+%% ID: ibm-not-wf-P85-ibm85n05.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n05'(suite) -> [];
+'ibm-not-wf-P85-ibm85n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n06.xml
+%% ID: ibm-not-wf-P85-ibm85n06.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n06'(suite) -> [];
+'ibm-not-wf-P85-ibm85n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n07.xml
+%% ID: ibm-not-wf-P85-ibm85n07.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n07'(suite) -> [];
+'ibm-not-wf-P85-ibm85n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n08.xml
+%% ID: ibm-not-wf-P85-ibm85n08.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n08'(suite) -> [];
+'ibm-not-wf-P85-ibm85n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n09.xml
+%% ID: ibm-not-wf-P85-ibm85n09.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n09'(suite) -> [];
+'ibm-not-wf-P85-ibm85n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n10.xml
+%% ID: ibm-not-wf-P85-ibm85n10.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n10'(suite) -> [];
+'ibm-not-wf-P85-ibm85n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n100.xml
+%% ID: ibm-not-wf-P85-ibm85n100.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n100'(suite) -> [];
+'ibm-not-wf-P85-ibm85n100'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n100.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n101.xml
+%% ID: ibm-not-wf-P85-ibm85n101.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n101'(suite) -> [];
+'ibm-not-wf-P85-ibm85n101'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n101.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n102.xml
+%% ID: ibm-not-wf-P85-ibm85n102.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n102'(suite) -> [];
+'ibm-not-wf-P85-ibm85n102'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n102.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n103.xml
+%% ID: ibm-not-wf-P85-ibm85n103.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n103'(suite) -> [];
+'ibm-not-wf-P85-ibm85n103'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n103.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n104.xml
+%% ID: ibm-not-wf-P85-ibm85n104.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n104'(suite) -> [];
+'ibm-not-wf-P85-ibm85n104'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n104.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n105.xml
+%% ID: ibm-not-wf-P85-ibm85n105.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n105'(suite) -> [];
+'ibm-not-wf-P85-ibm85n105'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n105.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n106.xml
+%% ID: ibm-not-wf-P85-ibm85n106.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n106'(suite) -> [];
+'ibm-not-wf-P85-ibm85n106'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n106.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n107.xml
+%% ID: ibm-not-wf-P85-ibm85n107.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n107'(suite) -> [];
+'ibm-not-wf-P85-ibm85n107'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n107.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n108.xml
+%% ID: ibm-not-wf-P85-ibm85n108.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n108'(suite) -> [];
+'ibm-not-wf-P85-ibm85n108'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n108.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n109.xml
+%% ID: ibm-not-wf-P85-ibm85n109.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n109'(suite) -> [];
+'ibm-not-wf-P85-ibm85n109'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n109.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n11.xml
+%% ID: ibm-not-wf-P85-ibm85n11.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n11'(suite) -> [];
+'ibm-not-wf-P85-ibm85n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n110.xml
+%% ID: ibm-not-wf-P85-ibm85n110.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n110'(suite) -> [];
+'ibm-not-wf-P85-ibm85n110'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n110.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n111.xml
+%% ID: ibm-not-wf-P85-ibm85n111.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n111'(suite) -> [];
+'ibm-not-wf-P85-ibm85n111'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n111.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n112.xml
+%% ID: ibm-not-wf-P85-ibm85n112.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n112'(suite) -> [];
+'ibm-not-wf-P85-ibm85n112'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n112.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n113.xml
+%% ID: ibm-not-wf-P85-ibm85n113.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n113'(suite) -> [];
+'ibm-not-wf-P85-ibm85n113'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n113.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n114.xml
+%% ID: ibm-not-wf-P85-ibm85n114.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n114'(suite) -> [];
+'ibm-not-wf-P85-ibm85n114'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n114.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n115.xml
+%% ID: ibm-not-wf-P85-ibm85n115.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n115'(suite) -> [];
+'ibm-not-wf-P85-ibm85n115'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n115.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n116.xml
+%% ID: ibm-not-wf-P85-ibm85n116.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n116'(suite) -> [];
+'ibm-not-wf-P85-ibm85n116'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n116.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n117.xml
+%% ID: ibm-not-wf-P85-ibm85n117.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n117'(suite) -> [];
+'ibm-not-wf-P85-ibm85n117'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n117.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n118.xml
+%% ID: ibm-not-wf-P85-ibm85n118.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n118'(suite) -> [];
+'ibm-not-wf-P85-ibm85n118'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n118.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n119.xml
+%% ID: ibm-not-wf-P85-ibm85n119.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n119'(suite) -> [];
+'ibm-not-wf-P85-ibm85n119'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n119.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n12.xml
+%% ID: ibm-not-wf-P85-ibm85n12.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n12'(suite) -> [];
+'ibm-not-wf-P85-ibm85n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n120.xml
+%% ID: ibm-not-wf-P85-ibm85n120.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n120'(suite) -> [];
+'ibm-not-wf-P85-ibm85n120'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n120.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n121.xml
+%% ID: ibm-not-wf-P85-ibm85n121.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n121'(suite) -> [];
+'ibm-not-wf-P85-ibm85n121'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n121.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n122.xml
+%% ID: ibm-not-wf-P85-ibm85n122.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n122'(suite) -> [];
+'ibm-not-wf-P85-ibm85n122'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n122.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n123.xml
+%% ID: ibm-not-wf-P85-ibm85n123.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n123'(suite) -> [];
+'ibm-not-wf-P85-ibm85n123'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n123.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n124.xml
+%% ID: ibm-not-wf-P85-ibm85n124.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n124'(suite) -> [];
+'ibm-not-wf-P85-ibm85n124'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n124.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n125.xml
+%% ID: ibm-not-wf-P85-ibm85n125.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n125'(suite) -> [];
+'ibm-not-wf-P85-ibm85n125'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n125.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n126.xml
+%% ID: ibm-not-wf-P85-ibm85n126.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n126'(suite) -> [];
+'ibm-not-wf-P85-ibm85n126'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n126.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n127.xml
+%% ID: ibm-not-wf-P85-ibm85n127.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n127'(suite) -> [];
+'ibm-not-wf-P85-ibm85n127'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n127.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n128.xml
+%% ID: ibm-not-wf-P85-ibm85n128.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n128'(suite) -> [];
+'ibm-not-wf-P85-ibm85n128'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n128.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n129.xml
+%% ID: ibm-not-wf-P85-ibm85n129.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n129'(suite) -> [];
+'ibm-not-wf-P85-ibm85n129'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n129.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n13.xml
+%% ID: ibm-not-wf-P85-ibm85n13.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n13'(suite) -> [];
+'ibm-not-wf-P85-ibm85n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n130.xml
+%% ID: ibm-not-wf-P85-ibm85n130.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n130'(suite) -> [];
+'ibm-not-wf-P85-ibm85n130'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n130.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n131.xml
+%% ID: ibm-not-wf-P85-ibm85n131.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n131'(suite) -> [];
+'ibm-not-wf-P85-ibm85n131'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n131.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n132.xml
+%% ID: ibm-not-wf-P85-ibm85n132.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n132'(suite) -> [];
+'ibm-not-wf-P85-ibm85n132'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n132.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n133.xml
+%% ID: ibm-not-wf-P85-ibm85n133.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n133'(suite) -> [];
+'ibm-not-wf-P85-ibm85n133'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n133.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n134.xml
+%% ID: ibm-not-wf-P85-ibm85n134.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n134'(suite) -> [];
+'ibm-not-wf-P85-ibm85n134'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n134.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n135.xml
+%% ID: ibm-not-wf-P85-ibm85n135.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n135'(suite) -> [];
+'ibm-not-wf-P85-ibm85n135'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n135.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n136.xml
+%% ID: ibm-not-wf-P85-ibm85n136.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n136'(suite) -> [];
+'ibm-not-wf-P85-ibm85n136'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n136.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n137.xml
+%% ID: ibm-not-wf-P85-ibm85n137.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n137'(suite) -> [];
+'ibm-not-wf-P85-ibm85n137'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n137.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n138.xml
+%% ID: ibm-not-wf-P85-ibm85n138.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n138'(suite) -> [];
+'ibm-not-wf-P85-ibm85n138'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n138.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n139.xml
+%% ID: ibm-not-wf-P85-ibm85n139.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n139'(suite) -> [];
+'ibm-not-wf-P85-ibm85n139'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n139.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n14.xml
+%% ID: ibm-not-wf-P85-ibm85n14.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n14'(suite) -> [];
+'ibm-not-wf-P85-ibm85n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n140.xml
+%% ID: ibm-not-wf-P85-ibm85n140.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n140'(suite) -> [];
+'ibm-not-wf-P85-ibm85n140'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n140.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n141.xml
+%% ID: ibm-not-wf-P85-ibm85n141.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n141'(suite) -> [];
+'ibm-not-wf-P85-ibm85n141'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n141.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n142.xml
+%% ID: ibm-not-wf-P85-ibm85n142.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n142'(suite) -> [];
+'ibm-not-wf-P85-ibm85n142'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n142.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n143.xml
+%% ID: ibm-not-wf-P85-ibm85n143.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n143'(suite) -> [];
+'ibm-not-wf-P85-ibm85n143'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n143.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n144.xml
+%% ID: ibm-not-wf-P85-ibm85n144.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n144'(suite) -> [];
+'ibm-not-wf-P85-ibm85n144'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n144.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n145.xml
+%% ID: ibm-not-wf-P85-ibm85n145.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n145'(suite) -> [];
+'ibm-not-wf-P85-ibm85n145'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n145.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n146.xml
+%% ID: ibm-not-wf-P85-ibm85n146.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n146'(suite) -> [];
+'ibm-not-wf-P85-ibm85n146'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n146.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n147.xml
+%% ID: ibm-not-wf-P85-ibm85n147.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n147'(suite) -> [];
+'ibm-not-wf-P85-ibm85n147'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n147.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n148.xml
+%% ID: ibm-not-wf-P85-ibm85n148.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n148'(suite) -> [];
+'ibm-not-wf-P85-ibm85n148'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n148.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n149.xml
+%% ID: ibm-not-wf-P85-ibm85n149.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n149'(suite) -> [];
+'ibm-not-wf-P85-ibm85n149'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n149.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n15.xml
+%% ID: ibm-not-wf-P85-ibm85n15.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n15'(suite) -> [];
+'ibm-not-wf-P85-ibm85n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n150.xml
+%% ID: ibm-not-wf-P85-ibm85n150.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n150'(suite) -> [];
+'ibm-not-wf-P85-ibm85n150'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n150.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n151.xml
+%% ID: ibm-not-wf-P85-ibm85n151.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n151'(suite) -> [];
+'ibm-not-wf-P85-ibm85n151'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n151.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n152.xml
+%% ID: ibm-not-wf-P85-ibm85n152.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n152'(suite) -> [];
+'ibm-not-wf-P85-ibm85n152'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n152.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n153.xml
+%% ID: ibm-not-wf-P85-ibm85n153.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n153'(suite) -> [];
+'ibm-not-wf-P85-ibm85n153'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n153.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n154.xml
+%% ID: ibm-not-wf-P85-ibm85n154.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n154'(suite) -> [];
+'ibm-not-wf-P85-ibm85n154'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n154.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n155.xml
+%% ID: ibm-not-wf-P85-ibm85n155.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n155'(suite) -> [];
+'ibm-not-wf-P85-ibm85n155'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n155.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n156.xml
+%% ID: ibm-not-wf-P85-ibm85n156.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n156'(suite) -> [];
+'ibm-not-wf-P85-ibm85n156'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n156.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n157.xml
+%% ID: ibm-not-wf-P85-ibm85n157.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n157'(suite) -> [];
+'ibm-not-wf-P85-ibm85n157'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n157.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n158.xml
+%% ID: ibm-not-wf-P85-ibm85n158.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n158'(suite) -> [];
+'ibm-not-wf-P85-ibm85n158'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n158.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n159.xml
+%% ID: ibm-not-wf-P85-ibm85n159.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n159'(suite) -> [];
+'ibm-not-wf-P85-ibm85n159'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n159.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n16.xml
+%% ID: ibm-not-wf-P85-ibm85n16.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n16'(suite) -> [];
+'ibm-not-wf-P85-ibm85n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n160.xml
+%% ID: ibm-not-wf-P85-ibm85n160.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n160'(suite) -> [];
+'ibm-not-wf-P85-ibm85n160'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n160.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n161.xml
+%% ID: ibm-not-wf-P85-ibm85n161.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n161'(suite) -> [];
+'ibm-not-wf-P85-ibm85n161'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n161.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n162.xml
+%% ID: ibm-not-wf-P85-ibm85n162.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n162'(suite) -> [];
+'ibm-not-wf-P85-ibm85n162'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n162.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n163.xml
+%% ID: ibm-not-wf-P85-ibm85n163.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n163'(suite) -> [];
+'ibm-not-wf-P85-ibm85n163'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n163.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n164.xml
+%% ID: ibm-not-wf-P85-ibm85n164.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n164'(suite) -> [];
+'ibm-not-wf-P85-ibm85n164'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n164.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n165.xml
+%% ID: ibm-not-wf-P85-ibm85n165.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n165'(suite) -> [];
+'ibm-not-wf-P85-ibm85n165'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n165.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n166.xml
+%% ID: ibm-not-wf-P85-ibm85n166.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n166'(suite) -> [];
+'ibm-not-wf-P85-ibm85n166'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n166.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n167.xml
+%% ID: ibm-not-wf-P85-ibm85n167.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n167'(suite) -> [];
+'ibm-not-wf-P85-ibm85n167'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n167.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n168.xml
+%% ID: ibm-not-wf-P85-ibm85n168.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n168'(suite) -> [];
+'ibm-not-wf-P85-ibm85n168'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n168.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n169.xml
+%% ID: ibm-not-wf-P85-ibm85n169.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n169'(suite) -> [];
+'ibm-not-wf-P85-ibm85n169'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n169.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n17.xml
+%% ID: ibm-not-wf-P85-ibm85n17.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n17'(suite) -> [];
+'ibm-not-wf-P85-ibm85n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n17.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n170.xml
+%% ID: ibm-not-wf-P85-ibm85n170.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n170'(suite) -> [];
+'ibm-not-wf-P85-ibm85n170'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n170.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n171.xml
+%% ID: ibm-not-wf-P85-ibm85n171.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n171'(suite) -> [];
+'ibm-not-wf-P85-ibm85n171'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n171.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n172.xml
+%% ID: ibm-not-wf-P85-ibm85n172.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n172'(suite) -> [];
+'ibm-not-wf-P85-ibm85n172'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n172.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n173.xml
+%% ID: ibm-not-wf-P85-ibm85n173.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n173'(suite) -> [];
+'ibm-not-wf-P85-ibm85n173'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n173.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n174.xml
+%% ID: ibm-not-wf-P85-ibm85n174.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n174'(suite) -> [];
+'ibm-not-wf-P85-ibm85n174'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n174.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n175.xml
+%% ID: ibm-not-wf-P85-ibm85n175.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n175'(suite) -> [];
+'ibm-not-wf-P85-ibm85n175'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n175.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n176.xml
+%% ID: ibm-not-wf-P85-ibm85n176.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n176'(suite) -> [];
+'ibm-not-wf-P85-ibm85n176'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n176.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n177.xml
+%% ID: ibm-not-wf-P85-ibm85n177.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n177'(suite) -> [];
+'ibm-not-wf-P85-ibm85n177'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n177.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n178.xml
+%% ID: ibm-not-wf-P85-ibm85n178.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n178'(suite) -> [];
+'ibm-not-wf-P85-ibm85n178'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n178.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n179.xml
+%% ID: ibm-not-wf-P85-ibm85n179.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n179'(suite) -> [];
+'ibm-not-wf-P85-ibm85n179'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n179.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n18.xml
+%% ID: ibm-not-wf-P85-ibm85n18.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n18'(suite) -> [];
+'ibm-not-wf-P85-ibm85n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n18.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n180.xml
+%% ID: ibm-not-wf-P85-ibm85n180.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n180'(suite) -> [];
+'ibm-not-wf-P85-ibm85n180'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n180.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n181.xml
+%% ID: ibm-not-wf-P85-ibm85n181.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n181'(suite) -> [];
+'ibm-not-wf-P85-ibm85n181'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n181.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n182.xml
+%% ID: ibm-not-wf-P85-ibm85n182.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n182'(suite) -> [];
+'ibm-not-wf-P85-ibm85n182'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n182.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n183.xml
+%% ID: ibm-not-wf-P85-ibm85n183.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n183'(suite) -> [];
+'ibm-not-wf-P85-ibm85n183'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n183.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n184.xml
+%% ID: ibm-not-wf-P85-ibm85n184.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n184'(suite) -> [];
+'ibm-not-wf-P85-ibm85n184'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n184.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n185.xml
+%% ID: ibm-not-wf-P85-ibm85n185.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n185'(suite) -> [];
+'ibm-not-wf-P85-ibm85n185'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n185.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n186.xml
+%% ID: ibm-not-wf-P85-ibm85n186.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n186'(suite) -> [];
+'ibm-not-wf-P85-ibm85n186'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n186.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n187.xml
+%% ID: ibm-not-wf-P85-ibm85n187.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n187'(suite) -> [];
+'ibm-not-wf-P85-ibm85n187'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n187.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n188.xml
+%% ID: ibm-not-wf-P85-ibm85n188.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n188'(suite) -> [];
+'ibm-not-wf-P85-ibm85n188'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n188.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n189.xml
+%% ID: ibm-not-wf-P85-ibm85n189.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n189'(suite) -> [];
+'ibm-not-wf-P85-ibm85n189'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n189.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n19.xml
+%% ID: ibm-not-wf-P85-ibm85n19.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n19'(suite) -> [];
+'ibm-not-wf-P85-ibm85n19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n19.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n190.xml
+%% ID: ibm-not-wf-P85-ibm85n190.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n190'(suite) -> [];
+'ibm-not-wf-P85-ibm85n190'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n190.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n191.xml
+%% ID: ibm-not-wf-P85-ibm85n191.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n191'(suite) -> [];
+'ibm-not-wf-P85-ibm85n191'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n191.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n192.xml
+%% ID: ibm-not-wf-P85-ibm85n192.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n192'(suite) -> [];
+'ibm-not-wf-P85-ibm85n192'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n192.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n193.xml
+%% ID: ibm-not-wf-P85-ibm85n193.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n193'(suite) -> [];
+'ibm-not-wf-P85-ibm85n193'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n193.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n194.xml
+%% ID: ibm-not-wf-P85-ibm85n194.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n194'(suite) -> [];
+'ibm-not-wf-P85-ibm85n194'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n194.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n195.xml
+%% ID: ibm-not-wf-P85-ibm85n195.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n195'(suite) -> [];
+'ibm-not-wf-P85-ibm85n195'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n195.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n196.xml
+%% ID: ibm-not-wf-P85-ibm85n196.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n196'(suite) -> [];
+'ibm-not-wf-P85-ibm85n196'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n196.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n197.xml
+%% ID: ibm-not-wf-P85-ibm85n197.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n197'(suite) -> [];
+'ibm-not-wf-P85-ibm85n197'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n197.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n198.xml
+%% ID: ibm-not-wf-P85-ibm85n198.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n198'(suite) -> [];
+'ibm-not-wf-P85-ibm85n198'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n198.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n20.xml
+%% ID: ibm-not-wf-P85-ibm85n20.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n20'(suite) -> [];
+'ibm-not-wf-P85-ibm85n20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n20.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n21.xml
+%% ID: ibm-not-wf-P85-ibm85n21.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n21'(suite) -> [];
+'ibm-not-wf-P85-ibm85n21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n21.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n22.xml
+%% ID: ibm-not-wf-P85-ibm85n22.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n22'(suite) -> [];
+'ibm-not-wf-P85-ibm85n22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n22.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n23.xml
+%% ID: ibm-not-wf-P85-ibm85n23.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n23'(suite) -> [];
+'ibm-not-wf-P85-ibm85n23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n23.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n24.xml
+%% ID: ibm-not-wf-P85-ibm85n24.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n24'(suite) -> [];
+'ibm-not-wf-P85-ibm85n24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n24.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n25.xml
+%% ID: ibm-not-wf-P85-ibm85n25.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n25'(suite) -> [];
+'ibm-not-wf-P85-ibm85n25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n25.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n26.xml
+%% ID: ibm-not-wf-P85-ibm85n26.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n26'(suite) -> [];
+'ibm-not-wf-P85-ibm85n26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n26.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n27.xml
+%% ID: ibm-not-wf-P85-ibm85n27.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n27'(suite) -> [];
+'ibm-not-wf-P85-ibm85n27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n27.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n28.xml
+%% ID: ibm-not-wf-P85-ibm85n28.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n28'(suite) -> [];
+'ibm-not-wf-P85-ibm85n28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n28.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n29.xml
+%% ID: ibm-not-wf-P85-ibm85n29.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n29'(suite) -> [];
+'ibm-not-wf-P85-ibm85n29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n29.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n30.xml
+%% ID: ibm-not-wf-P85-ibm85n30.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n30'(suite) -> [];
+'ibm-not-wf-P85-ibm85n30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n30.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n31.xml
+%% ID: ibm-not-wf-P85-ibm85n31.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n31'(suite) -> [];
+'ibm-not-wf-P85-ibm85n31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n31.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n32.xml
+%% ID: ibm-not-wf-P85-ibm85n32.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n32'(suite) -> [];
+'ibm-not-wf-P85-ibm85n32'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n32.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n33.xml
+%% ID: ibm-not-wf-P85-ibm85n33.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n33'(suite) -> [];
+'ibm-not-wf-P85-ibm85n33'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n33.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n34.xml
+%% ID: ibm-not-wf-P85-ibm85n34.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n34'(suite) -> [];
+'ibm-not-wf-P85-ibm85n34'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n34.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n35.xml
+%% ID: ibm-not-wf-P85-ibm85n35.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n35'(suite) -> [];
+'ibm-not-wf-P85-ibm85n35'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n35.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n36.xml
+%% ID: ibm-not-wf-P85-ibm85n36.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n36'(suite) -> [];
+'ibm-not-wf-P85-ibm85n36'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n36.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n37.xml
+%% ID: ibm-not-wf-P85-ibm85n37.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n37'(suite) -> [];
+'ibm-not-wf-P85-ibm85n37'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n37.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n38.xml
+%% ID: ibm-not-wf-P85-ibm85n38.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n38'(suite) -> [];
+'ibm-not-wf-P85-ibm85n38'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n38.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n39.xml
+%% ID: ibm-not-wf-P85-ibm85n39.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n39'(suite) -> [];
+'ibm-not-wf-P85-ibm85n39'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n39.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n40.xml
+%% ID: ibm-not-wf-P85-ibm85n40.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n40'(suite) -> [];
+'ibm-not-wf-P85-ibm85n40'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n40.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n41.xml
+%% ID: ibm-not-wf-P85-ibm85n41.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n41'(suite) -> [];
+'ibm-not-wf-P85-ibm85n41'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n41.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n42.xml
+%% ID: ibm-not-wf-P85-ibm85n42.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n42'(suite) -> [];
+'ibm-not-wf-P85-ibm85n42'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n42.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n43.xml
+%% ID: ibm-not-wf-P85-ibm85n43.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n43'(suite) -> [];
+'ibm-not-wf-P85-ibm85n43'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n43.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n44.xml
+%% ID: ibm-not-wf-P85-ibm85n44.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n44'(suite) -> [];
+'ibm-not-wf-P85-ibm85n44'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n44.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n45.xml
+%% ID: ibm-not-wf-P85-ibm85n45.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n45'(suite) -> [];
+'ibm-not-wf-P85-ibm85n45'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n45.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n46.xml
+%% ID: ibm-not-wf-P85-ibm85n46.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n46'(suite) -> [];
+'ibm-not-wf-P85-ibm85n46'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n46.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n47.xml
+%% ID: ibm-not-wf-P85-ibm85n47.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n47'(suite) -> [];
+'ibm-not-wf-P85-ibm85n47'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n47.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n48.xml
+%% ID: ibm-not-wf-P85-ibm85n48.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n48'(suite) -> [];
+'ibm-not-wf-P85-ibm85n48'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n48.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n49.xml
+%% ID: ibm-not-wf-P85-ibm85n49.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n49'(suite) -> [];
+'ibm-not-wf-P85-ibm85n49'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n49.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n50.xml
+%% ID: ibm-not-wf-P85-ibm85n50.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n50'(suite) -> [];
+'ibm-not-wf-P85-ibm85n50'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n50.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n51.xml
+%% ID: ibm-not-wf-P85-ibm85n51.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n51'(suite) -> [];
+'ibm-not-wf-P85-ibm85n51'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n51.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n52.xml
+%% ID: ibm-not-wf-P85-ibm85n52.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n52'(suite) -> [];
+'ibm-not-wf-P85-ibm85n52'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n52.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n53.xml
+%% ID: ibm-not-wf-P85-ibm85n53.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n53'(suite) -> [];
+'ibm-not-wf-P85-ibm85n53'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n53.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n54.xml
+%% ID: ibm-not-wf-P85-ibm85n54.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n54'(suite) -> [];
+'ibm-not-wf-P85-ibm85n54'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n54.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n55.xml
+%% ID: ibm-not-wf-P85-ibm85n55.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n55'(suite) -> [];
+'ibm-not-wf-P85-ibm85n55'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n55.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n56.xml
+%% ID: ibm-not-wf-P85-ibm85n56.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n56'(suite) -> [];
+'ibm-not-wf-P85-ibm85n56'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n56.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n57.xml
+%% ID: ibm-not-wf-P85-ibm85n57.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n57'(suite) -> [];
+'ibm-not-wf-P85-ibm85n57'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n57.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n58.xml
+%% ID: ibm-not-wf-P85-ibm85n58.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n58'(suite) -> [];
+'ibm-not-wf-P85-ibm85n58'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n58.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n59.xml
+%% ID: ibm-not-wf-P85-ibm85n59.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n59'(suite) -> [];
+'ibm-not-wf-P85-ibm85n59'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n59.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n60.xml
+%% ID: ibm-not-wf-P85-ibm85n60.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n60'(suite) -> [];
+'ibm-not-wf-P85-ibm85n60'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n60.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n61.xml
+%% ID: ibm-not-wf-P85-ibm85n61.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n61'(suite) -> [];
+'ibm-not-wf-P85-ibm85n61'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n61.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n62.xml
+%% ID: ibm-not-wf-P85-ibm85n62.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n62'(suite) -> [];
+'ibm-not-wf-P85-ibm85n62'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n62.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n63.xml
+%% ID: ibm-not-wf-P85-ibm85n63.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n63'(suite) -> [];
+'ibm-not-wf-P85-ibm85n63'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n63.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n64.xml
+%% ID: ibm-not-wf-P85-ibm85n64.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n64'(suite) -> [];
+'ibm-not-wf-P85-ibm85n64'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n64.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n65.xml
+%% ID: ibm-not-wf-P85-ibm85n65.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n65'(suite) -> [];
+'ibm-not-wf-P85-ibm85n65'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n65.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n66.xml
+%% ID: ibm-not-wf-P85-ibm85n66.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n66'(suite) -> [];
+'ibm-not-wf-P85-ibm85n66'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n66.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n67.xml
+%% ID: ibm-not-wf-P85-ibm85n67.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n67'(suite) -> [];
+'ibm-not-wf-P85-ibm85n67'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n67.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n68.xml
+%% ID: ibm-not-wf-P85-ibm85n68.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n68'(suite) -> [];
+'ibm-not-wf-P85-ibm85n68'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n68.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n69.xml
+%% ID: ibm-not-wf-P85-ibm85n69.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n69'(suite) -> [];
+'ibm-not-wf-P85-ibm85n69'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n69.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n70.xml
+%% ID: ibm-not-wf-P85-ibm85n70.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n70'(suite) -> [];
+'ibm-not-wf-P85-ibm85n70'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n70.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n71.xml
+%% ID: ibm-not-wf-P85-ibm85n71.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n71'(suite) -> [];
+'ibm-not-wf-P85-ibm85n71'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n71.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n72.xml
+%% ID: ibm-not-wf-P85-ibm85n72.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n72'(suite) -> [];
+'ibm-not-wf-P85-ibm85n72'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n72.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n73.xml
+%% ID: ibm-not-wf-P85-ibm85n73.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n73'(suite) -> [];
+'ibm-not-wf-P85-ibm85n73'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n73.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n74.xml
+%% ID: ibm-not-wf-P85-ibm85n74.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n74'(suite) -> [];
+'ibm-not-wf-P85-ibm85n74'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n74.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n75.xml
+%% ID: ibm-not-wf-P85-ibm85n75.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n75'(suite) -> [];
+'ibm-not-wf-P85-ibm85n75'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n75.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n76.xml
+%% ID: ibm-not-wf-P85-ibm85n76.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n76'(suite) -> [];
+'ibm-not-wf-P85-ibm85n76'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n76.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n77.xml
+%% ID: ibm-not-wf-P85-ibm85n77.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n77'(suite) -> [];
+'ibm-not-wf-P85-ibm85n77'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n77.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n78.xml
+%% ID: ibm-not-wf-P85-ibm85n78.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n78'(suite) -> [];
+'ibm-not-wf-P85-ibm85n78'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n78.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n79.xml
+%% ID: ibm-not-wf-P85-ibm85n79.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n79'(suite) -> [];
+'ibm-not-wf-P85-ibm85n79'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n79.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n80.xml
+%% ID: ibm-not-wf-P85-ibm85n80.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n80'(suite) -> [];
+'ibm-not-wf-P85-ibm85n80'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n80.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n81.xml
+%% ID: ibm-not-wf-P85-ibm85n81.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n81'(suite) -> [];
+'ibm-not-wf-P85-ibm85n81'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n81.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n82.xml
+%% ID: ibm-not-wf-P85-ibm85n82.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n82'(suite) -> [];
+'ibm-not-wf-P85-ibm85n82'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n82.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n83.xml
+%% ID: ibm-not-wf-P85-ibm85n83.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n83'(suite) -> [];
+'ibm-not-wf-P85-ibm85n83'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n83.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n84.xml
+%% ID: ibm-not-wf-P85-ibm85n84.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n84'(suite) -> [];
+'ibm-not-wf-P85-ibm85n84'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n84.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n85.xml
+%% ID: ibm-not-wf-P85-ibm85n85.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n85'(suite) -> [];
+'ibm-not-wf-P85-ibm85n85'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n85.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n86.xml
+%% ID: ibm-not-wf-P85-ibm85n86.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n86'(suite) -> [];
+'ibm-not-wf-P85-ibm85n86'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n86.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n87.xml
+%% ID: ibm-not-wf-P85-ibm85n87.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n87'(suite) -> [];
+'ibm-not-wf-P85-ibm85n87'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n87.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n88.xml
+%% ID: ibm-not-wf-P85-ibm85n88.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n88'(suite) -> [];
+'ibm-not-wf-P85-ibm85n88'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n88.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n89.xml
+%% ID: ibm-not-wf-P85-ibm85n89.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n89'(suite) -> [];
+'ibm-not-wf-P85-ibm85n89'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n89.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n90.xml
+%% ID: ibm-not-wf-P85-ibm85n90.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n90'(suite) -> [];
+'ibm-not-wf-P85-ibm85n90'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n90.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n91.xml
+%% ID: ibm-not-wf-P85-ibm85n91.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n91'(suite) -> [];
+'ibm-not-wf-P85-ibm85n91'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n91.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n92.xml
+%% ID: ibm-not-wf-P85-ibm85n92.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n92'(suite) -> [];
+'ibm-not-wf-P85-ibm85n92'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n92.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n93.xml
+%% ID: ibm-not-wf-P85-ibm85n93.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n93'(suite) -> [];
+'ibm-not-wf-P85-ibm85n93'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n93.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n94.xml
+%% ID: ibm-not-wf-P85-ibm85n94.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n94'(suite) -> [];
+'ibm-not-wf-P85-ibm85n94'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n94.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n95.xml
+%% ID: ibm-not-wf-P85-ibm85n95.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n95'(suite) -> [];
+'ibm-not-wf-P85-ibm85n95'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n95.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n96.xml
+%% ID: ibm-not-wf-P85-ibm85n96.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n96'(suite) -> [];
+'ibm-not-wf-P85-ibm85n96'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n96.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n97.xml
+%% ID: ibm-not-wf-P85-ibm85n97.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n97'(suite) -> [];
+'ibm-not-wf-P85-ibm85n97'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n97.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n98.xml
+%% ID: ibm-not-wf-P85-ibm85n98.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n98'(suite) -> [];
+'ibm-not-wf-P85-ibm85n98'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n98.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P85/ibm85n99.xml
+%% ID: ibm-not-wf-P85-ibm85n99.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P85-ibm85n99'(suite) -> [];
+'ibm-not-wf-P85-ibm85n99'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P85/ibm85n99.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 85
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P86/ibm86n01.xml
+%% ID: ibm-not-wf-P86-ibm86n01.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P86-ibm86n01'(suite) -> [];
+'ibm-not-wf-P86-ibm86n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P86/ibm86n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P86/ibm86n02.xml
+%% ID: ibm-not-wf-P86-ibm86n02.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P86-ibm86n02'(suite) -> [];
+'ibm-not-wf-P86-ibm86n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P86/ibm86n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P86/ibm86n03.xml
+%% ID: ibm-not-wf-P86-ibm86n03.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P86-ibm86n03'(suite) -> [];
+'ibm-not-wf-P86-ibm86n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P86/ibm86n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P86/ibm86n04.xml
+%% ID: ibm-not-wf-P86-ibm86n04.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P86-ibm86n04'(suite) -> [];
+'ibm-not-wf-P86-ibm86n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P86/ibm86n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 86
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n01.xml
+%% ID: ibm-not-wf-P87-ibm87n01.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n01'(suite) -> [];
+'ibm-not-wf-P87-ibm87n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n02.xml
+%% ID: ibm-not-wf-P87-ibm87n02.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n02'(suite) -> [];
+'ibm-not-wf-P87-ibm87n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n03.xml
+%% ID: ibm-not-wf-P87-ibm87n03.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n03'(suite) -> [];
+'ibm-not-wf-P87-ibm87n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n04.xml
+%% ID: ibm-not-wf-P87-ibm87n04.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n04'(suite) -> [];
+'ibm-not-wf-P87-ibm87n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n05.xml
+%% ID: ibm-not-wf-P87-ibm87n05.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n05'(suite) -> [];
+'ibm-not-wf-P87-ibm87n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n06.xml
+%% ID: ibm-not-wf-P87-ibm87n06.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n06'(suite) -> [];
+'ibm-not-wf-P87-ibm87n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n07.xml
+%% ID: ibm-not-wf-P87-ibm87n07.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n07'(suite) -> [];
+'ibm-not-wf-P87-ibm87n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n08.xml
+%% ID: ibm-not-wf-P87-ibm87n08.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n08'(suite) -> [];
+'ibm-not-wf-P87-ibm87n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n09.xml
+%% ID: ibm-not-wf-P87-ibm87n09.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n09'(suite) -> [];
+'ibm-not-wf-P87-ibm87n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n10.xml
+%% ID: ibm-not-wf-P87-ibm87n10.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n10'(suite) -> [];
+'ibm-not-wf-P87-ibm87n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n11.xml
+%% ID: ibm-not-wf-P87-ibm87n11.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n11'(suite) -> [];
+'ibm-not-wf-P87-ibm87n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n12.xml
+%% ID: ibm-not-wf-P87-ibm87n12.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n12'(suite) -> [];
+'ibm-not-wf-P87-ibm87n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n13.xml
+%% ID: ibm-not-wf-P87-ibm87n13.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n13'(suite) -> [];
+'ibm-not-wf-P87-ibm87n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n14.xml
+%% ID: ibm-not-wf-P87-ibm87n14.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n14'(suite) -> [];
+'ibm-not-wf-P87-ibm87n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n15.xml
+%% ID: ibm-not-wf-P87-ibm87n15.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n15'(suite) -> [];
+'ibm-not-wf-P87-ibm87n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n16.xml
+%% ID: ibm-not-wf-P87-ibm87n16.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n16'(suite) -> [];
+'ibm-not-wf-P87-ibm87n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n17.xml
+%% ID: ibm-not-wf-P87-ibm87n17.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n17'(suite) -> [];
+'ibm-not-wf-P87-ibm87n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n17.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n18.xml
+%% ID: ibm-not-wf-P87-ibm87n18.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n18'(suite) -> [];
+'ibm-not-wf-P87-ibm87n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n18.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n19.xml
+%% ID: ibm-not-wf-P87-ibm87n19.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n19'(suite) -> [];
+'ibm-not-wf-P87-ibm87n19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n19.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n20.xml
+%% ID: ibm-not-wf-P87-ibm87n20.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n20'(suite) -> [];
+'ibm-not-wf-P87-ibm87n20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n20.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n21.xml
+%% ID: ibm-not-wf-P87-ibm87n21.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n21'(suite) -> [];
+'ibm-not-wf-P87-ibm87n21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n21.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n22.xml
+%% ID: ibm-not-wf-P87-ibm87n22.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n22'(suite) -> [];
+'ibm-not-wf-P87-ibm87n22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n22.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n23.xml
+%% ID: ibm-not-wf-P87-ibm87n23.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n23'(suite) -> [];
+'ibm-not-wf-P87-ibm87n23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n23.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n24.xml
+%% ID: ibm-not-wf-P87-ibm87n24.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n24'(suite) -> [];
+'ibm-not-wf-P87-ibm87n24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n24.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n25.xml
+%% ID: ibm-not-wf-P87-ibm87n25.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n25'(suite) -> [];
+'ibm-not-wf-P87-ibm87n25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n25.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n26.xml
+%% ID: ibm-not-wf-P87-ibm87n26.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n26'(suite) -> [];
+'ibm-not-wf-P87-ibm87n26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n26.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n27.xml
+%% ID: ibm-not-wf-P87-ibm87n27.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n27'(suite) -> [];
+'ibm-not-wf-P87-ibm87n27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n27.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n28.xml
+%% ID: ibm-not-wf-P87-ibm87n28.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n28'(suite) -> [];
+'ibm-not-wf-P87-ibm87n28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n28.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n29.xml
+%% ID: ibm-not-wf-P87-ibm87n29.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n29'(suite) -> [];
+'ibm-not-wf-P87-ibm87n29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n29.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n30.xml
+%% ID: ibm-not-wf-P87-ibm87n30.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n30'(suite) -> [];
+'ibm-not-wf-P87-ibm87n30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n30.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n31.xml
+%% ID: ibm-not-wf-P87-ibm87n31.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n31'(suite) -> [];
+'ibm-not-wf-P87-ibm87n31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n31.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n32.xml
+%% ID: ibm-not-wf-P87-ibm87n32.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n32'(suite) -> [];
+'ibm-not-wf-P87-ibm87n32'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n32.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n33.xml
+%% ID: ibm-not-wf-P87-ibm87n33.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n33'(suite) -> [];
+'ibm-not-wf-P87-ibm87n33'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n33.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n34.xml
+%% ID: ibm-not-wf-P87-ibm87n34.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n34'(suite) -> [];
+'ibm-not-wf-P87-ibm87n34'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n34.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n35.xml
+%% ID: ibm-not-wf-P87-ibm87n35.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n35'(suite) -> [];
+'ibm-not-wf-P87-ibm87n35'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n35.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n36.xml
+%% ID: ibm-not-wf-P87-ibm87n36.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n36'(suite) -> [];
+'ibm-not-wf-P87-ibm87n36'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n36.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n37.xml
+%% ID: ibm-not-wf-P87-ibm87n37.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n37'(suite) -> [];
+'ibm-not-wf-P87-ibm87n37'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n37.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n38.xml
+%% ID: ibm-not-wf-P87-ibm87n38.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n38'(suite) -> [];
+'ibm-not-wf-P87-ibm87n38'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n38.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n39.xml
+%% ID: ibm-not-wf-P87-ibm87n39.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n39'(suite) -> [];
+'ibm-not-wf-P87-ibm87n39'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n39.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n40.xml
+%% ID: ibm-not-wf-P87-ibm87n40.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n40'(suite) -> [];
+'ibm-not-wf-P87-ibm87n40'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n40.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n41.xml
+%% ID: ibm-not-wf-P87-ibm87n41.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n41'(suite) -> [];
+'ibm-not-wf-P87-ibm87n41'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n41.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n42.xml
+%% ID: ibm-not-wf-P87-ibm87n42.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n42'(suite) -> [];
+'ibm-not-wf-P87-ibm87n42'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n42.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n43.xml
+%% ID: ibm-not-wf-P87-ibm87n43.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n43'(suite) -> [];
+'ibm-not-wf-P87-ibm87n43'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n43.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n44.xml
+%% ID: ibm-not-wf-P87-ibm87n44.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n44'(suite) -> [];
+'ibm-not-wf-P87-ibm87n44'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n44.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n45.xml
+%% ID: ibm-not-wf-P87-ibm87n45.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n45'(suite) -> [];
+'ibm-not-wf-P87-ibm87n45'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n45.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n46.xml
+%% ID: ibm-not-wf-P87-ibm87n46.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n46'(suite) -> [];
+'ibm-not-wf-P87-ibm87n46'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n46.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n47.xml
+%% ID: ibm-not-wf-P87-ibm87n47.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n47'(suite) -> [];
+'ibm-not-wf-P87-ibm87n47'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n47.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n48.xml
+%% ID: ibm-not-wf-P87-ibm87n48.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n48'(suite) -> [];
+'ibm-not-wf-P87-ibm87n48'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n48.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n49.xml
+%% ID: ibm-not-wf-P87-ibm87n49.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n49'(suite) -> [];
+'ibm-not-wf-P87-ibm87n49'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n49.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n50.xml
+%% ID: ibm-not-wf-P87-ibm87n50.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n50'(suite) -> [];
+'ibm-not-wf-P87-ibm87n50'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n50.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n51.xml
+%% ID: ibm-not-wf-P87-ibm87n51.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n51'(suite) -> [];
+'ibm-not-wf-P87-ibm87n51'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n51.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n52.xml
+%% ID: ibm-not-wf-P87-ibm87n52.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n52'(suite) -> [];
+'ibm-not-wf-P87-ibm87n52'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n52.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n53.xml
+%% ID: ibm-not-wf-P87-ibm87n53.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n53'(suite) -> [];
+'ibm-not-wf-P87-ibm87n53'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n53.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n54.xml
+%% ID: ibm-not-wf-P87-ibm87n54.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n54'(suite) -> [];
+'ibm-not-wf-P87-ibm87n54'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n54.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n55.xml
+%% ID: ibm-not-wf-P87-ibm87n55.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n55'(suite) -> [];
+'ibm-not-wf-P87-ibm87n55'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n55.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n56.xml
+%% ID: ibm-not-wf-P87-ibm87n56.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n56'(suite) -> [];
+'ibm-not-wf-P87-ibm87n56'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n56.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n57.xml
+%% ID: ibm-not-wf-P87-ibm87n57.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n57'(suite) -> [];
+'ibm-not-wf-P87-ibm87n57'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n57.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n58.xml
+%% ID: ibm-not-wf-P87-ibm87n58.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n58'(suite) -> [];
+'ibm-not-wf-P87-ibm87n58'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n58.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n59.xml
+%% ID: ibm-not-wf-P87-ibm87n59.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n59'(suite) -> [];
+'ibm-not-wf-P87-ibm87n59'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n59.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n60.xml
+%% ID: ibm-not-wf-P87-ibm87n60.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n60'(suite) -> [];
+'ibm-not-wf-P87-ibm87n60'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n60.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n61.xml
+%% ID: ibm-not-wf-P87-ibm87n61.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n61'(suite) -> [];
+'ibm-not-wf-P87-ibm87n61'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n61.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n62.xml
+%% ID: ibm-not-wf-P87-ibm87n62.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n62'(suite) -> [];
+'ibm-not-wf-P87-ibm87n62'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n62.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n63.xml
+%% ID: ibm-not-wf-P87-ibm87n63.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n63'(suite) -> [];
+'ibm-not-wf-P87-ibm87n63'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n63.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n64.xml
+%% ID: ibm-not-wf-P87-ibm87n64.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n64'(suite) -> [];
+'ibm-not-wf-P87-ibm87n64'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n64.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n66.xml
+%% ID: ibm-not-wf-P87-ibm87n66.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n66'(suite) -> [];
+'ibm-not-wf-P87-ibm87n66'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n66.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n67.xml
+%% ID: ibm-not-wf-P87-ibm87n67.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n67'(suite) -> [];
+'ibm-not-wf-P87-ibm87n67'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n67.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n68.xml
+%% ID: ibm-not-wf-P87-ibm87n68.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n68'(suite) -> [];
+'ibm-not-wf-P87-ibm87n68'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n68.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n69.xml
+%% ID: ibm-not-wf-P87-ibm87n69.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n69'(suite) -> [];
+'ibm-not-wf-P87-ibm87n69'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n69.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n70.xml
+%% ID: ibm-not-wf-P87-ibm87n70.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n70'(suite) -> [];
+'ibm-not-wf-P87-ibm87n70'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n70.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n71.xml
+%% ID: ibm-not-wf-P87-ibm87n71.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n71'(suite) -> [];
+'ibm-not-wf-P87-ibm87n71'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n71.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n72.xml
+%% ID: ibm-not-wf-P87-ibm87n72.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n72'(suite) -> [];
+'ibm-not-wf-P87-ibm87n72'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n72.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n73.xml
+%% ID: ibm-not-wf-P87-ibm87n73.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n73'(suite) -> [];
+'ibm-not-wf-P87-ibm87n73'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n73.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n74.xml
+%% ID: ibm-not-wf-P87-ibm87n74.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n74'(suite) -> [];
+'ibm-not-wf-P87-ibm87n74'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n74.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n75.xml
+%% ID: ibm-not-wf-P87-ibm87n75.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n75'(suite) -> [];
+'ibm-not-wf-P87-ibm87n75'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n75.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n76.xml
+%% ID: ibm-not-wf-P87-ibm87n76.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n76'(suite) -> [];
+'ibm-not-wf-P87-ibm87n76'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n76.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n77.xml
+%% ID: ibm-not-wf-P87-ibm87n77.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n77'(suite) -> [];
+'ibm-not-wf-P87-ibm87n77'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n77.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n78.xml
+%% ID: ibm-not-wf-P87-ibm87n78.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n78'(suite) -> [];
+'ibm-not-wf-P87-ibm87n78'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n78.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n79.xml
+%% ID: ibm-not-wf-P87-ibm87n79.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n79'(suite) -> [];
+'ibm-not-wf-P87-ibm87n79'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n79.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n80.xml
+%% ID: ibm-not-wf-P87-ibm87n80.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n80'(suite) -> [];
+'ibm-not-wf-P87-ibm87n80'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n80.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n81.xml
+%% ID: ibm-not-wf-P87-ibm87n81.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n81'(suite) -> [];
+'ibm-not-wf-P87-ibm87n81'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n81.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n82.xml
+%% ID: ibm-not-wf-P87-ibm87n82.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n82'(suite) -> [];
+'ibm-not-wf-P87-ibm87n82'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n82.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n83.xml
+%% ID: ibm-not-wf-P87-ibm87n83.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n83'(suite) -> [];
+'ibm-not-wf-P87-ibm87n83'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n83.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n84.xml
+%% ID: ibm-not-wf-P87-ibm87n84.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n84'(suite) -> [];
+'ibm-not-wf-P87-ibm87n84'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n84.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P87/ibm87n85.xml
+%% ID: ibm-not-wf-P87-ibm87n85.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P87-ibm87n85'(suite) -> [];
+'ibm-not-wf-P87-ibm87n85'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P87/ibm87n85.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 87
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n01.xml
+%% ID: ibm-not-wf-P88-ibm88n01.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n01'(suite) -> [];
+'ibm-not-wf-P88-ibm88n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n02.xml
+%% ID: ibm-not-wf-P88-ibm88n02.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n02'(suite) -> [];
+'ibm-not-wf-P88-ibm88n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n03.xml
+%% ID: ibm-not-wf-P88-ibm88n03.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n03'(suite) -> [];
+'ibm-not-wf-P88-ibm88n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n04.xml
+%% ID: ibm-not-wf-P88-ibm88n04.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n04'(suite) -> [];
+'ibm-not-wf-P88-ibm88n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n05.xml
+%% ID: ibm-not-wf-P88-ibm88n05.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n05'(suite) -> [];
+'ibm-not-wf-P88-ibm88n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n06.xml
+%% ID: ibm-not-wf-P88-ibm88n06.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n06'(suite) -> [];
+'ibm-not-wf-P88-ibm88n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n08.xml
+%% ID: ibm-not-wf-P88-ibm88n08.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n08'(suite) -> [];
+'ibm-not-wf-P88-ibm88n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n09.xml
+%% ID: ibm-not-wf-P88-ibm88n09.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n09'(suite) -> [];
+'ibm-not-wf-P88-ibm88n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n10.xml
+%% ID: ibm-not-wf-P88-ibm88n10.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n10'(suite) -> [];
+'ibm-not-wf-P88-ibm88n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n11.xml
+%% ID: ibm-not-wf-P88-ibm88n11.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n11'(suite) -> [];
+'ibm-not-wf-P88-ibm88n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n12.xml
+%% ID: ibm-not-wf-P88-ibm88n12.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n12'(suite) -> [];
+'ibm-not-wf-P88-ibm88n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n13.xml
+%% ID: ibm-not-wf-P88-ibm88n13.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n13'(suite) -> [];
+'ibm-not-wf-P88-ibm88n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n13.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n14.xml
+%% ID: ibm-not-wf-P88-ibm88n14.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n14'(suite) -> [];
+'ibm-not-wf-P88-ibm88n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n14.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n15.xml
+%% ID: ibm-not-wf-P88-ibm88n15.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n15'(suite) -> [];
+'ibm-not-wf-P88-ibm88n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n15.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P88/ibm88n16.xml
+%% ID: ibm-not-wf-P88-ibm88n16.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P88-ibm88n16'(suite) -> [];
+'ibm-not-wf-P88-ibm88n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P88/ibm88n16.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 88
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n01.xml
+%% ID: ibm-not-wf-P89-ibm89n01.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n01'(suite) -> [];
+'ibm-not-wf-P89-ibm89n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n02.xml
+%% ID: ibm-not-wf-P89-ibm89n02.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n02'(suite) -> [];
+'ibm-not-wf-P89-ibm89n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n03.xml
+%% ID: ibm-not-wf-P89-ibm89n03.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n03'(suite) -> [];
+'ibm-not-wf-P89-ibm89n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n04.xml
+%% ID: ibm-not-wf-P89-ibm89n04.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n04'(suite) -> [];
+'ibm-not-wf-P89-ibm89n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n05.xml
+%% ID: ibm-not-wf-P89-ibm89n05.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n05'(suite) -> [];
+'ibm-not-wf-P89-ibm89n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n06.xml
+%% ID: ibm-not-wf-P89-ibm89n06.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n06'(suite) -> [];
+'ibm-not-wf-P89-ibm89n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n07.xml
+%% ID: ibm-not-wf-P89-ibm89n07.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n07'(suite) -> [];
+'ibm-not-wf-P89-ibm89n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n08.xml
+%% ID: ibm-not-wf-P89-ibm89n08.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n08'(suite) -> [];
+'ibm-not-wf-P89-ibm89n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n09.xml
+%% ID: ibm-not-wf-P89-ibm89n09.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n09'(suite) -> [];
+'ibm-not-wf-P89-ibm89n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n10.xml
+%% ID: ibm-not-wf-P89-ibm89n10.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n10'(suite) -> [];
+'ibm-not-wf-P89-ibm89n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n11.xml
+%% ID: ibm-not-wf-P89-ibm89n11.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n11'(suite) -> [];
+'ibm-not-wf-P89-ibm89n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n11.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: not-wf/P89/ibm89n12.xml
+%% ID: ibm-not-wf-P89-ibm89n12.xml
+%% Type: not-wf
+%% Sections: B.
+'ibm-not-wf-P89-ibm89n12'(suite) -> [];
+'ibm-not-wf-P89-ibm89n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","not-wf/P89/ibm89n12.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "not-wf").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 89
+
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - not-wf tests
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P01/ibm01v01.xml
+%% ID: ibm-valid-P01-ibm01v01.xml
+%% Type: valid
+%% Sections: 2.1
+'ibm-valid-P01-ibm01v01'(suite) -> [];
+'ibm-valid-P01-ibm01v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P01/ibm01v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 1
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P02/ibm02v01.xml
+%% ID: ibm-valid-P02-ibm02v01.xml
+%% Type: valid
+%% Sections: 2.2
+'ibm-valid-P02-ibm02v01'(suite) -> [];
+'ibm-valid-P02-ibm02v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P02/ibm02v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 2
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P03/ibm03v01.xml
+%% ID: ibm-valid-P03-ibm03v01.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P03-ibm03v01'(suite) -> [];
+'ibm-valid-P03-ibm03v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P03/ibm03v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 3
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P09/ibm09v01.xml
+%% ID: ibm-valid-P09-ibm09v01.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P09-ibm09v01'(suite) -> [];
+'ibm-valid-P09-ibm09v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P09/ibm09v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P09/ibm09v02.xml
+%% ID: ibm-valid-P09-ibm09v02.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P09-ibm09v02'(suite) -> [];
+'ibm-valid-P09-ibm09v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P09/ibm09v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P09/ibm09v03.xml
+%% ID: ibm-valid-P09-ibm09v03.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P09-ibm09v03'(suite) -> [];
+'ibm-valid-P09-ibm09v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P09/ibm09v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P09/ibm09v04.xml
+%% ID: ibm-valid-P09-ibm09v04.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P09-ibm09v04'(suite) -> [];
+'ibm-valid-P09-ibm09v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P09/ibm09v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P09/ibm09v05.xml
+%% ID: ibm-valid-P09-ibm09v05.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P09-ibm09v05'(suite) -> [];
+'ibm-valid-P09-ibm09v05'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P09/ibm09v05.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 9
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v01.xml
+%% ID: ibm-valid-P10-ibm10v01.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v01'(suite) -> [];
+'ibm-valid-P10-ibm10v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v02.xml
+%% ID: ibm-valid-P10-ibm10v02.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v02'(suite) -> [];
+'ibm-valid-P10-ibm10v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v03.xml
+%% ID: ibm-valid-P10-ibm10v03.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v03'(suite) -> [];
+'ibm-valid-P10-ibm10v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v04.xml
+%% ID: ibm-valid-P10-ibm10v04.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v04'(suite) -> [];
+'ibm-valid-P10-ibm10v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v05.xml
+%% ID: ibm-valid-P10-ibm10v05.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v05'(suite) -> [];
+'ibm-valid-P10-ibm10v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v06.xml
+%% ID: ibm-valid-P10-ibm10v06.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v06'(suite) -> [];
+'ibm-valid-P10-ibm10v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v07.xml
+%% ID: ibm-valid-P10-ibm10v07.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v07'(suite) -> [];
+'ibm-valid-P10-ibm10v07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P10/ibm10v08.xml
+%% ID: ibm-valid-P10-ibm10v08.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P10-ibm10v08'(suite) -> [];
+'ibm-valid-P10-ibm10v08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P10/ibm10v08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 10
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P11/ibm11v01.xml
+%% ID: ibm-valid-P11-ibm11v01.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P11-ibm11v01'(suite) -> [];
+'ibm-valid-P11-ibm11v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P11/ibm11v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P11/ibm11v02.xml
+%% ID: ibm-valid-P11-ibm11v02.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P11-ibm11v02'(suite) -> [];
+'ibm-valid-P11-ibm11v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P11/ibm11v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P11/ibm11v03.xml
+%% ID: ibm-valid-P11-ibm11v03.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P11-ibm11v03'(suite) -> [];
+'ibm-valid-P11-ibm11v03'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P11/ibm11v03.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P11/ibm11v04.xml
+%% ID: ibm-valid-P11-ibm11v04.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P11-ibm11v04'(suite) -> [];
+'ibm-valid-P11-ibm11v04'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P11/ibm11v04.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 11
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P12/ibm12v01.xml
+%% ID: ibm-valid-P12-ibm12v01.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P12-ibm12v01'(suite) -> [];
+'ibm-valid-P12-ibm12v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P12/ibm12v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P12/ibm12v02.xml
+%% ID: ibm-valid-P12-ibm12v02.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P12-ibm12v02'(suite) -> [];
+'ibm-valid-P12-ibm12v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P12/ibm12v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P12/ibm12v03.xml
+%% ID: ibm-valid-P12-ibm12v03.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P12-ibm12v03'(suite) -> [];
+'ibm-valid-P12-ibm12v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P12/ibm12v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P12/ibm12v04.xml
+%% ID: ibm-valid-P12-ibm12v04.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P12-ibm12v04'(suite) -> [];
+'ibm-valid-P12-ibm12v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P12/ibm12v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 12
+
+testcases111(suite) -> [].
+%% ['ibm-valid-P12-ibm12v01','ibm-valid-P12-ibm12v02','ibm-valid-P12-ibm12v03','ibm-valid-P12-ibm12v04'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P13/ibm13v01.xml
+%% ID: ibm-valid-P13-ibm13v01.xml
+%% Type: valid
+%% Sections: 2.3
+'ibm-valid-P13-ibm13v01'(suite) -> [];
+'ibm-valid-P13-ibm13v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P13/ibm13v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 13
+
+testcases112(suite) -> [].
+%% ['ibm-valid-P13-ibm13v01'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P14/ibm14v01.xml
+%% ID: ibm-valid-P14-ibm14v01.xml
+%% Type: valid
+%% Sections: 2.4
+'ibm-valid-P14-ibm14v01'(suite) -> [];
+'ibm-valid-P14-ibm14v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P14/ibm14v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P14/ibm14v02.xml
+%% ID: ibm-valid-P14-ibm14v02.xml
+%% Type: valid
+%% Sections: 2.4
+'ibm-valid-P14-ibm14v02'(suite) -> [];
+'ibm-valid-P14-ibm14v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P14/ibm14v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P14/ibm14v03.xml
+%% ID: ibm-valid-P14-ibm14v03.xml
+%% Type: valid
+%% Sections: 2.4
+'ibm-valid-P14-ibm14v03'(suite) -> [];
+'ibm-valid-P14-ibm14v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P14/ibm14v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 14
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P15/ibm15v01.xml
+%% ID: ibm-valid-P15-ibm15v01.xml
+%% Type: valid
+%% Sections: 2.5
+'ibm-valid-P15-ibm15v01'(suite) -> [];
+'ibm-valid-P15-ibm15v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P15/ibm15v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P15/ibm15v02.xml
+%% ID: ibm-valid-P15-ibm15v02.xml
+%% Type: valid
+%% Sections: 2.5
+'ibm-valid-P15-ibm15v02'(suite) -> [];
+'ibm-valid-P15-ibm15v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P15/ibm15v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P15/ibm15v03.xml
+%% ID: ibm-valid-P15-ibm15v03.xml
+%% Type: valid
+%% Sections: 2.5
+'ibm-valid-P15-ibm15v03'(suite) -> [];
+'ibm-valid-P15-ibm15v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P15/ibm15v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P15/ibm15v04.xml
+%% ID: ibm-valid-P15-ibm15v04.xml
+%% Type: valid
+%% Sections: 2.5
+'ibm-valid-P15-ibm15v04'(suite) -> [];
+'ibm-valid-P15-ibm15v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P15/ibm15v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 15
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P16/ibm16v01.xml
+%% ID: ibm-valid-P16-ibm16v01.xml
+%% Type: valid
+%% Sections: 2.6
+'ibm-valid-P16-ibm16v01'(suite) -> [];
+'ibm-valid-P16-ibm16v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P16/ibm16v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P16/ibm16v02.xml
+%% ID: ibm-valid-P16-ibm16v02.xml
+%% Type: valid
+%% Sections: 2.6
+'ibm-valid-P16-ibm16v02'(suite) -> [];
+'ibm-valid-P16-ibm16v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P16/ibm16v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P16/ibm16v03.xml
+%% ID: ibm-valid-P16-ibm16v03.xml
+%% Type: valid
+%% Sections: 2.6
+'ibm-valid-P16-ibm16v03'(suite) -> [];
+'ibm-valid-P16-ibm16v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P16/ibm16v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 16
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P17/ibm17v01.xml
+%% ID: ibm-valid-P17-ibm17v01.xml
+%% Type: valid
+%% Sections: 2.6
+'ibm-valid-P17-ibm17v01'(suite) -> [];
+'ibm-valid-P17-ibm17v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P17/ibm17v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 17
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P18/ibm18v01.xml
+%% ID: ibm-valid-P18-ibm18v01.xml
+%% Type: valid
+%% Sections: 2.7
+'ibm-valid-P18-ibm18v01'(suite) -> [];
+'ibm-valid-P18-ibm18v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P18/ibm18v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 18
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P19/ibm19v01.xml
+%% ID: ibm-valid-P19-ibm19v01.xml
+%% Type: valid
+%% Sections: 2.7
+'ibm-valid-P19-ibm19v01'(suite) -> [];
+'ibm-valid-P19-ibm19v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P19/ibm19v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 19
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P20/ibm20v01.xml
+%% ID: ibm-valid-P20-ibm20v01.xml
+%% Type: valid
+%% Sections: 2.7
+'ibm-valid-P20-ibm20v01'(suite) -> [];
+'ibm-valid-P20-ibm20v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P20/ibm20v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P20/ibm20v02.xml
+%% ID: ibm-valid-P20-ibm20v02.xml
+%% Type: valid
+%% Sections: 2.7
+'ibm-valid-P20-ibm20v02'(suite) -> [];
+'ibm-valid-P20-ibm20v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P20/ibm20v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 20
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P21/ibm21v01.xml
+%% ID: ibm-valid-P21-ibm21v01.xml
+%% Type: valid
+%% Sections: 2.7
+'ibm-valid-P21-ibm21v01'(suite) -> [];
+'ibm-valid-P21-ibm21v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P21/ibm21v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 21
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P22/ibm22v01.xml
+%% ID: ibm-valid-P22-ibm22v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P22-ibm22v01'(suite) -> [];
+'ibm-valid-P22-ibm22v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P22/ibm22v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P22/ibm22v02.xml
+%% ID: ibm-valid-P22-ibm22v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P22-ibm22v02'(suite) -> [];
+'ibm-valid-P22-ibm22v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P22/ibm22v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P22/ibm22v03.xml
+%% ID: ibm-valid-P22-ibm22v03.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P22-ibm22v03'(suite) -> [];
+'ibm-valid-P22-ibm22v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P22/ibm22v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P22/ibm22v04.xml
+%% ID: ibm-valid-P22-ibm22v04.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P22-ibm22v04'(suite) -> [];
+'ibm-valid-P22-ibm22v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P22/ibm22v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P22/ibm22v05.xml
+%% ID: ibm-valid-P22-ibm22v05.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P22-ibm22v05'(suite) -> [];
+'ibm-valid-P22-ibm22v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P22/ibm22v05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P22/ibm22v06.xml
+%% ID: ibm-valid-P22-ibm22v06.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P22-ibm22v06'(suite) -> [];
+'ibm-valid-P22-ibm22v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P22/ibm22v06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P22/ibm22v07.xml
+%% ID: ibm-valid-P22-ibm22v07.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P22-ibm22v07'(suite) -> [];
+'ibm-valid-P22-ibm22v07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P22/ibm22v07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 22
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P23/ibm23v01.xml
+%% ID: ibm-valid-P23-ibm23v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P23-ibm23v01'(suite) -> [];
+'ibm-valid-P23-ibm23v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P23/ibm23v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P23/ibm23v02.xml
+%% ID: ibm-valid-P23-ibm23v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P23-ibm23v02'(suite) -> [];
+'ibm-valid-P23-ibm23v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P23/ibm23v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P23/ibm23v03.xml
+%% ID: ibm-valid-P23-ibm23v03.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P23-ibm23v03'(suite) -> [];
+'ibm-valid-P23-ibm23v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P23/ibm23v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P23/ibm23v04.xml
+%% ID: ibm-valid-P23-ibm23v04.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P23-ibm23v04'(suite) -> [];
+'ibm-valid-P23-ibm23v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P23/ibm23v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P23/ibm23v05.xml
+%% ID: ibm-valid-P23-ibm23v05.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P23-ibm23v05'(suite) -> [];
+'ibm-valid-P23-ibm23v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P23/ibm23v05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P23/ibm23v06.xml
+%% ID: ibm-valid-P23-ibm23v06.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P23-ibm23v06'(suite) -> [];
+'ibm-valid-P23-ibm23v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P23/ibm23v06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 23
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P24/ibm24v01.xml
+%% ID: ibm-valid-P24-ibm24v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P24-ibm24v01'(suite) -> [];
+'ibm-valid-P24-ibm24v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P24/ibm24v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P24/ibm24v02.xml
+%% ID: ibm-valid-P24-ibm24v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P24-ibm24v02'(suite) -> [];
+'ibm-valid-P24-ibm24v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P24/ibm24v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 24
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P25/ibm25v01.xml
+%% ID: ibm-valid-P25-ibm25v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P25-ibm25v01'(suite) -> [];
+'ibm-valid-P25-ibm25v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P25/ibm25v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P25/ibm25v02.xml
+%% ID: ibm-valid-P25-ibm25v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P25-ibm25v02'(suite) -> [];
+'ibm-valid-P25-ibm25v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P25/ibm25v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P25/ibm25v03.xml
+%% ID: ibm-valid-P25-ibm25v03.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P25-ibm25v03'(suite) -> [];
+'ibm-valid-P25-ibm25v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P25/ibm25v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P25/ibm25v04.xml
+%% ID: ibm-valid-P25-ibm25v04.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P25-ibm25v04'(suite) -> [];
+'ibm-valid-P25-ibm25v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P25/ibm25v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 25
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P26/ibm26v01.xml
+%% ID: ibm-valid-P26-ibm26v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P26-ibm26v01'(suite) -> [];
+'ibm-valid-P26-ibm26v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P26/ibm26v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 26
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P27/ibm27v01.xml
+%% ID: ibm-valid-P27-ibm27v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P27-ibm27v01'(suite) -> [];
+'ibm-valid-P27-ibm27v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P27/ibm27v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P27/ibm27v02.xml
+%% ID: ibm-valid-P27-ibm27v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P27-ibm27v02'(suite) -> [];
+'ibm-valid-P27-ibm27v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P27/ibm27v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P27/ibm27v03.xml
+%% ID: ibm-valid-P27-ibm27v03.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P27-ibm27v03'(suite) -> [];
+'ibm-valid-P27-ibm27v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P27/ibm27v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 27
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P28/ibm28v01.xml
+%% ID: ibm-valid-P28-ibm28v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P28-ibm28v01'(suite) -> [];
+'ibm-valid-P28-ibm28v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P28/ibm28v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P28/ibm28v02.xml
+%% ID: ibm-valid-P28-ibm28v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P28-ibm28v02'(suite) -> [];
+'ibm-valid-P28-ibm28v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P28/ibm28v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 28
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P29/ibm29v01.xml
+%% ID: ibm-valid-P29-ibm29v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P29-ibm29v01'(suite) -> [];
+'ibm-valid-P29-ibm29v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P29/ibm29v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P29/ibm29v02.xml
+%% ID: ibm-valid-P29-ibm29v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P29-ibm29v02'(suite) -> [];
+'ibm-valid-P29-ibm29v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P29/ibm29v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 29
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P30/ibm30v01.xml
+%% ID: ibm-valid-P30-ibm30v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P30-ibm30v01'(suite) -> [];
+'ibm-valid-P30-ibm30v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P30/ibm30v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P30/ibm30v02.xml
+%% ID: ibm-valid-P30-ibm30v02.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P30-ibm30v02'(suite) -> [];
+'ibm-valid-P30-ibm30v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P30/ibm30v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 30
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P31/ibm31v01.xml
+%% ID: ibm-valid-P31-ibm31v01.xml
+%% Type: valid
+%% Sections: 2.8
+'ibm-valid-P31-ibm31v01'(suite) -> [];
+'ibm-valid-P31-ibm31v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P31/ibm31v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 31
+
+testcases130(suite) -> [].
+%% ['ibm-valid-P31-ibm31v01'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P32/ibm32v01.xml
+%% ID: ibm-valid-P32-ibm32v01.xml
+%% Type: valid
+%% Sections: 2.9
+'ibm-valid-P32-ibm32v01'(suite) -> [];
+'ibm-valid-P32-ibm32v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P32/ibm32v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P32/ibm32v02.xml
+%% ID: ibm-valid-P32-ibm32v02.xml
+%% Type: valid
+%% Sections: 2.9
+'ibm-valid-P32-ibm32v02'(suite) -> [];
+'ibm-valid-P32-ibm32v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P32/ibm32v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P32/ibm32v03.xml
+%% ID: ibm-valid-P32-ibm32v03.xml
+%% Type: valid
+%% Sections: 2.9
+'ibm-valid-P32-ibm32v03'(suite) -> [];
+'ibm-valid-P32-ibm32v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P32/ibm32v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P32/ibm32v04.xml
+%% ID: ibm-valid-P32-ibm32v04.xml
+%% Type: valid
+%% Sections: 2.9
+'ibm-valid-P32-ibm32v04'(suite) -> [];
+'ibm-valid-P32-ibm32v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P32/ibm32v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 32
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P33/ibm33v01.xml
+%% ID: ibm-valid-P33-ibm33v01.xml
+%% Type: valid
+%% Sections: 2.12
+'ibm-valid-P33-ibm33v01'(suite) -> [];
+'ibm-valid-P33-ibm33v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P33/ibm33v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 33
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P34/ibm34v01.xml
+%% ID: ibm-valid-P34-ibm34v01.xml
+%% Type: valid
+%% Sections: 2.12
+'ibm-valid-P34-ibm34v01'(suite) -> [];
+'ibm-valid-P34-ibm34v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P34/ibm34v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 34
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P35/ibm35v01.xml
+%% ID: ibm-valid-P35-ibm35v01.xml
+%% Type: valid
+%% Sections: 2.12
+'ibm-valid-P35-ibm35v01'(suite) -> [];
+'ibm-valid-P35-ibm35v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P35/ibm35v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 35
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P36/ibm36v01.xml
+%% ID: ibm-valid-P36-ibm36v01.xml
+%% Type: valid
+%% Sections: 2.12
+'ibm-valid-P36-ibm36v01'(suite) -> [];
+'ibm-valid-P36-ibm36v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P36/ibm36v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 36
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P37/ibm37v01.xml
+%% ID: ibm-valid-P37-ibm37v01.xml
+%% Type: valid
+%% Sections: 2.12
+'ibm-valid-P37-ibm37v01'(suite) -> [];
+'ibm-valid-P37-ibm37v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P37/ibm37v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 37
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P38/ibm38v01.xml
+%% ID: ibm-valid-P38-ibm38v01.xml
+%% Type: valid
+%% Sections: 2.12
+'ibm-valid-P38-ibm38v01'(suite) -> [];
+'ibm-valid-P38-ibm38v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P38/ibm38v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 38
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P39/ibm39v01.xml
+%% ID: ibm-valid-P39-ibm39v01.xml
+%% Type: valid
+%% Sections: 3
+'ibm-valid-P39-ibm39v01'(suite) -> [];
+'ibm-valid-P39-ibm39v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P39/ibm39v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 39
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P40/ibm40v01.xml
+%% ID: ibm-valid-P40-ibm40v01.xml
+%% Type: valid
+%% Sections: 3.1
+'ibm-valid-P40-ibm40v01'(suite) -> [];
+'ibm-valid-P40-ibm40v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P40/ibm40v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 40
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P41/ibm41v01.xml
+%% ID: ibm-valid-P41-ibm41v01.xml
+%% Type: valid
+%% Sections: 3.1
+'ibm-valid-P41-ibm41v01'(suite) -> [];
+'ibm-valid-P41-ibm41v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P41/ibm41v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 41
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P42/ibm42v01.xml
+%% ID: ibm-valid-P42-ibm42v01.xml
+%% Type: valid
+%% Sections: 3.1
+'ibm-valid-P42-ibm42v01'(suite) -> [];
+'ibm-valid-P42-ibm42v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P42/ibm42v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 42
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P43/ibm43v01.xml
+%% ID: ibm-valid-P43-ibm43v01.xml
+%% Type: valid
+%% Sections: 3.1
+'ibm-valid-P43-ibm43v01'(suite) -> [];
+'ibm-valid-P43-ibm43v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P43/ibm43v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 43
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P44/ibm44v01.xml
+%% ID: ibm-valid-P44-ibm44v01.xml
+%% Type: valid
+%% Sections: 3.1
+'ibm-valid-P44-ibm44v01'(suite) -> [];
+'ibm-valid-P44-ibm44v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P44/ibm44v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 44
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P45/ibm45v01.xml
+%% ID: ibm-valid-P45-ibm45v01.xml
+%% Type: valid
+%% Sections: 3.2
+'ibm-valid-P45-ibm45v01'(suite) -> [];
+'ibm-valid-P45-ibm45v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P45/ibm45v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 45
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P47/ibm47v01.xml
+%% ID: ibm-valid-P47-ibm47v01.xml
+%% Type: valid
+%% Sections: 3.2.1
+'ibm-valid-P47-ibm47v01'(suite) -> [];
+'ibm-valid-P47-ibm47v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P47/ibm47v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 47
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P49/ibm49v01.xml
+%% ID: ibm-valid-P49-ibm49v01.xml
+%% Type: valid
+%% Sections: 3.2.1
+'ibm-valid-P49-ibm49v01'(suite) -> [];
+'ibm-valid-P49-ibm49v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P49/ibm49v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 49
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P50/ibm50v01.xml
+%% ID: ibm-valid-P50-ibm50v01.xml
+%% Type: valid
+%% Sections: 3.2.1
+'ibm-valid-P50-ibm50v01'(suite) -> [];
+'ibm-valid-P50-ibm50v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P50/ibm50v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 50
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P51/ibm51v01.xml
+%% ID: ibm-valid-P51-ibm51v01.xml
+%% Type: valid
+%% Sections: 3.2.2
+'ibm-valid-P51-ibm51v01'(suite) -> [];
+'ibm-valid-P51-ibm51v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P51/ibm51v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P51/ibm51v02.xml
+%% ID: ibm-valid-P51-ibm51v02.xml
+%% Type: valid
+%% Sections: 3.2.2
+'ibm-valid-P51-ibm51v02'(suite) -> [];
+'ibm-valid-P51-ibm51v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P51/ibm51v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 51
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P52/ibm52v01.xml
+%% ID: ibm-valid-P52-ibm52v01.xml
+%% Type: valid
+%% Sections: 3.3
+'ibm-valid-P52-ibm52v01'(suite) -> [];
+'ibm-valid-P52-ibm52v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P52/ibm52v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 52
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P54/ibm54v01.xml
+%% ID: ibm-valid-P54-ibm54v01.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P54-ibm54v01'(suite) -> [];
+'ibm-valid-P54-ibm54v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P54/ibm54v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P54/ibm54v02.xml
+%% ID: ibm-valid-P54-ibm54v02.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P54-ibm54v02'(suite) -> [];
+'ibm-valid-P54-ibm54v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P54/ibm54v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P54/ibm54v03.xml
+%% ID: ibm-valid-P54-ibm54v03.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P54-ibm54v03'(suite) -> [];
+'ibm-valid-P54-ibm54v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P54/ibm54v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 54
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P55/ibm55v01.xml
+%% ID: ibm-valid-P55-ibm55v01.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P55-ibm55v01'(suite) -> [];
+'ibm-valid-P55-ibm55v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P55/ibm55v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 55
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v01.xml
+%% ID: ibm-valid-P56-ibm56v01.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v01'(suite) -> [];
+'ibm-valid-P56-ibm56v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v02.xml
+%% ID: ibm-valid-P56-ibm56v02.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v02'(suite) -> [];
+'ibm-valid-P56-ibm56v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v03.xml
+%% ID: ibm-valid-P56-ibm56v03.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v03'(suite) -> [];
+'ibm-valid-P56-ibm56v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v04.xml
+%% ID: ibm-valid-P56-ibm56v04.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v04'(suite) -> [];
+'ibm-valid-P56-ibm56v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v05.xml
+%% ID: ibm-valid-P56-ibm56v05.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v05'(suite) -> [];
+'ibm-valid-P56-ibm56v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v06.xml
+%% ID: ibm-valid-P56-ibm56v06.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v06'(suite) -> [];
+'ibm-valid-P56-ibm56v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v06.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v07.xml
+%% ID: ibm-valid-P56-ibm56v07.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v07'(suite) -> [];
+'ibm-valid-P56-ibm56v07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v07.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v08.xml
+%% ID: ibm-valid-P56-ibm56v08.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v08'(suite) -> [];
+'ibm-valid-P56-ibm56v08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v08.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v09.xml
+%% ID: ibm-valid-P56-ibm56v09.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v09'(suite) -> [];
+'ibm-valid-P56-ibm56v09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v09.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P56/ibm56v10.xml
+%% ID: ibm-valid-P56-ibm56v10.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P56-ibm56v10'(suite) -> [];
+'ibm-valid-P56-ibm56v10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P56/ibm56v10.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 56
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P57/ibm57v01.xml
+%% ID: ibm-valid-P57-ibm57v01.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P57-ibm57v01'(suite) -> [];
+'ibm-valid-P57-ibm57v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P57/ibm57v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 57
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P58/ibm58v01.xml
+%% ID: ibm-valid-P58-ibm58v01.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P58-ibm58v01'(suite) -> [];
+'ibm-valid-P58-ibm58v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P58/ibm58v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P58/ibm58v02.xml
+%% ID: ibm-valid-P58-ibm58v02.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P58-ibm58v02'(suite) -> [];
+'ibm-valid-P58-ibm58v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P58/ibm58v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 58
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P59/ibm59v01.xml
+%% ID: ibm-valid-P59-ibm59v01.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P59-ibm59v01'(suite) -> [];
+'ibm-valid-P59-ibm59v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P59/ibm59v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P59/ibm59v02.xml
+%% ID: ibm-valid-P59-ibm59v02.xml
+%% Type: valid
+%% Sections: 3.3.1
+'ibm-valid-P59-ibm59v02'(suite) -> [];
+'ibm-valid-P59-ibm59v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P59/ibm59v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 59
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P60/ibm60v01.xml
+%% ID: ibm-valid-P60-ibm60v01.xml
+%% Type: valid
+%% Sections: 3.3.2
+'ibm-valid-P60-ibm60v01'(suite) -> [];
+'ibm-valid-P60-ibm60v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P60/ibm60v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P60/ibm60v02.xml
+%% ID: ibm-valid-P60-ibm60v02.xml
+%% Type: valid
+%% Sections: 3.3.2
+'ibm-valid-P60-ibm60v02'(suite) -> [];
+'ibm-valid-P60-ibm60v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P60/ibm60v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P60/ibm60v03.xml
+%% ID: ibm-valid-P60-ibm60v03.xml
+%% Type: valid
+%% Sections: 3.3.2
+'ibm-valid-P60-ibm60v03'(suite) -> [];
+'ibm-valid-P60-ibm60v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P60/ibm60v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P60/ibm60v04.xml
+%% ID: ibm-valid-P60-ibm60v04.xml
+%% Type: valid
+%% Sections: 3.3.2
+'ibm-valid-P60-ibm60v04'(suite) -> [];
+'ibm-valid-P60-ibm60v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P60/ibm60v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 60
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P61/ibm61v01.xml
+%% ID: ibm-valid-P61-ibm61v01.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P61-ibm61v01'(suite) -> [];
+'ibm-valid-P61-ibm61v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P61/ibm61v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P61/ibm61v02.xml
+%% ID: ibm-valid-P61-ibm61v02.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P61-ibm61v02'(suite) -> [];
+'ibm-valid-P61-ibm61v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P61/ibm61v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 61
+
+testcases157(suite) -> [].
+%% ['ibm-valid-P61-ibm61v01','ibm-valid-P61-ibm61v02'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P62/ibm62v01.xml
+%% ID: ibm-valid-P62-ibm62v01.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P62-ibm62v01'(suite) -> [];
+'ibm-valid-P62-ibm62v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P62/ibm62v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P62/ibm62v02.xml
+%% ID: ibm-valid-P62-ibm62v02.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P62-ibm62v02'(suite) -> [];
+'ibm-valid-P62-ibm62v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P62/ibm62v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P62/ibm62v03.xml
+%% ID: ibm-valid-P62-ibm62v03.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P62-ibm62v03'(suite) -> [];
+'ibm-valid-P62-ibm62v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P62/ibm62v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P62/ibm62v04.xml
+%% ID: ibm-valid-P62-ibm62v04.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P62-ibm62v04'(suite) -> [];
+'ibm-valid-P62-ibm62v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P62/ibm62v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P62/ibm62v05.xml
+%% ID: ibm-valid-P62-ibm62v05.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P62-ibm62v05'(suite) -> [];
+'ibm-valid-P62-ibm62v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P62/ibm62v05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 62
+
+testcases158(suite) -> [].
+%% ['ibm-valid-P62-ibm62v01','ibm-valid-P62-ibm62v02','ibm-valid-P62-ibm62v03','ibm-valid-P62-ibm62v04','ibm-valid-P62-ibm62v05'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P63/ibm63v01.xml
+%% ID: ibm-valid-P63-ibm63v01.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P63-ibm63v01'(suite) -> [];
+'ibm-valid-P63-ibm63v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P63/ibm63v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P63/ibm63v02.xml
+%% ID: ibm-valid-P63-ibm63v02.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P63-ibm63v02'(suite) -> [];
+'ibm-valid-P63-ibm63v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P63/ibm63v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P63/ibm63v03.xml
+%% ID: ibm-valid-P63-ibm63v03.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P63-ibm63v03'(suite) -> [];
+'ibm-valid-P63-ibm63v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P63/ibm63v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P63/ibm63v04.xml
+%% ID: ibm-valid-P63-ibm63v04.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P63-ibm63v04'(suite) -> [];
+'ibm-valid-P63-ibm63v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P63/ibm63v04.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P63/ibm63v05.xml
+%% ID: ibm-valid-P63-ibm63v05.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P63-ibm63v05'(suite) -> [];
+'ibm-valid-P63-ibm63v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P63/ibm63v05.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 63
+
+testcases159(suite) -> [].
+%% ['ibm-valid-P63-ibm63v01','ibm-valid-P63-ibm63v02','ibm-valid-P63-ibm63v03','ibm-valid-P63-ibm63v04','ibm-valid-P63-ibm63v05'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P64/ibm64v01.xml
+%% ID: ibm-valid-P64-ibm64v01.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P64-ibm64v01'(suite) -> [];
+'ibm-valid-P64-ibm64v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P64/ibm64v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P64/ibm64v02.xml
+%% ID: ibm-valid-P64-ibm64v02.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P64-ibm64v02'(suite) -> [];
+'ibm-valid-P64-ibm64v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P64/ibm64v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P64/ibm64v03.xml
+%% ID: ibm-valid-P64-ibm64v03.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P64-ibm64v03'(suite) -> [];
+'ibm-valid-P64-ibm64v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P64/ibm64v03.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 64
+
+testcases160(suite) -> [].
+%% ['ibm-valid-P64-ibm64v01','ibm-valid-P64-ibm64v02','ibm-valid-P64-ibm64v03'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P65/ibm65v01.xml
+%% ID: ibm-valid-P65-ibm65v01.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P65-ibm65v01'(suite) -> [];
+'ibm-valid-P65-ibm65v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P65/ibm65v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P65/ibm65v02.xml
+%% ID: ibm-valid-P65-ibm65v02.xml
+%% Type: valid
+%% Sections: 3.4
+'ibm-valid-P65-ibm65v02'(suite) -> [];
+'ibm-valid-P65-ibm65v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P65/ibm65v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 65
+
+testcases161(suite) -> [].
+%% ['ibm-valid-P65-ibm65v01','ibm-valid-P65-ibm65v02'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P66/ibm66v01.xml
+%% ID: ibm-valid-P66-ibm66v01.xml
+%% Type: valid
+%% Sections: 4.1
+'ibm-valid-P66-ibm66v01'(suite) -> [];
+'ibm-valid-P66-ibm66v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P66/ibm66v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 66
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P67/ibm67v01.xml
+%% ID: ibm-valid-P67-ibm67v01.xml
+%% Type: valid
+%% Sections: 4.1
+'ibm-valid-P67-ibm67v01'(suite) -> [];
+'ibm-valid-P67-ibm67v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P67/ibm67v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 67
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P68/ibm68v01.xml
+%% ID: ibm-valid-P68-ibm68v01.xml
+%% Type: valid
+%% Sections: 4.1
+'ibm-valid-P68-ibm68v01'(suite) -> [];
+'ibm-valid-P68-ibm68v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P68/ibm68v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P68/ibm68v02.xml
+%% ID: ibm-valid-P68-ibm68v02.xml
+%% Type: valid
+%% Sections: 4.1
+'ibm-valid-P68-ibm68v02'(suite) -> [];
+'ibm-valid-P68-ibm68v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P68/ibm68v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 68
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P69/ibm69v01.xml
+%% ID: ibm-valid-P69-ibm69v01.xml
+%% Type: valid
+%% Sections: 4.1
+'ibm-valid-P69-ibm69v01'(suite) -> [];
+'ibm-valid-P69-ibm69v01'(_Config) -> {skip, "NYI"}.
+%% ?line file:set_cwd(?config(data_dir,Config)),
+%% ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P69/ibm69v01.xml"]),
+%% ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+%% ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P69/ibm69v02.xml
+%% ID: ibm-valid-P69-ibm69v02.xml
+%% Type: valid
+%% Sections: 4.1
+'ibm-valid-P69-ibm69v02'(suite) -> [];
+'ibm-valid-P69-ibm69v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P69/ibm69v02.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 69
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P70/ibm70v01.xml
+%% ID: ibm-valid-P70-ibm70v01.xml
+%% Type: valid
+%% Sections: 4.2
+'ibm-valid-P70-ibm70v01'(suite) -> [];
+'ibm-valid-P70-ibm70v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P70/ibm70v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 70
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P78/ibm78v01.xml
+%% ID: ibm-valid-P78-ibm78v01.xml
+%% Type: valid
+%% Sections: 4.3.2
+'ibm-valid-P78-ibm78v01'(suite) -> [];
+'ibm-valid-P78-ibm78v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P78/ibm78v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 78
+
+testcases167(suite) -> [].
+%% ['ibm-valid-P78-ibm78v01'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P79/ibm79v01.xml
+%% ID: ibm-valid-P79-ibm79v01.xml
+%% Type: valid
+%% Sections: 4.3.2
+'ibm-valid-P79-ibm79v01'(suite) -> [];
+'ibm-valid-P79-ibm79v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P79/ibm79v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 79
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P82/ibm82v01.xml
+%% ID: ibm-valid-P82-ibm82v01.xml
+%% Type: valid
+%% Sections: 4.7
+'ibm-valid-P82-ibm82v01'(suite) -> [];
+'ibm-valid-P82-ibm82v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P82/ibm82v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 82
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P85/ibm85v01.xml
+%% ID: ibm-valid-P85-ibm85v01.xml
+%% Type: valid
+%% Sections: B.
+'ibm-valid-P85-ibm85v01'(suite) -> [];
+'ibm-valid-P85-ibm85v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P85/ibm85v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 85
+
+testcases170(suite) -> [].
+%% ['ibm-valid-P85-ibm85v01'].
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P86/ibm86v01.xml
+%% ID: ibm-valid-P86-ibm86v01.xml
+%% Type: valid
+%% Sections: B.
+'ibm-valid-P86-ibm86v01'(suite) -> [];
+'ibm-valid-P86-ibm86v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P86/ibm86v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 86
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P87/ibm87v01.xml
+%% ID: ibm-valid-P87-ibm87v01.xml
+%% Type: valid
+%% Sections: B.
+'ibm-valid-P87-ibm87v01'(suite) -> [];
+'ibm-valid-P87-ibm87v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P87/ibm87v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 87
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P88/ibm88v01.xml
+%% ID: ibm-valid-P88-ibm88v01.xml
+%% Type: valid
+%% Sections: B.
+'ibm-valid-P88-ibm88v01'(suite) -> [];
+'ibm-valid-P88-ibm88v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P88/ibm88v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 88
+
+
+%%----------------------------------------------------------------------
+%% Test Case
+%% Uri: valid/P89/ibm89v01.xml
+%% ID: ibm-valid-P89-ibm89v01.xml
+%% Type: valid
+%% Sections: B.
+'ibm-valid-P89-ibm89v01'(suite) -> [];
+'ibm-valid-P89-ibm89v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line Path = filename:join([?config(data_dir,Config),"ibm","valid/P89/ibm89v01.xml"]),
+ ?line R = xmerl_sax_parser:file(Path, [{event_fun, fun(_,_,S) -> S end}]),
+ ?line check_result(R, "valid").
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - Production 89
+
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML Conformance Test Suite - valid tests
+
+
+%%----------------------------------------------------------------------
+%% Test Cases
+%% Profile: IBM XML 1.0 Tests
+
+
+%%----------------------------------------------------------------------
+%% Test Suite
+%% Profile: XML 1.0 (2nd edition) W3C Conformance Test Suite, 6 October 2000
+
+all() ->
+ [{group, testcases1}, {group, testcases3},
+ {group, testcases5}, {group, testcases6},
+ {group, testcases8}].
+
+groups() ->
+ [{testcases2, [],
+ ['not-wf-sa-001', 'not-wf-sa-002', 'not-wf-sa-003',
+ 'not-wf-sa-004', 'not-wf-sa-005', 'not-wf-sa-006',
+ 'not-wf-sa-007', 'not-wf-sa-008', 'not-wf-sa-009',
+ 'not-wf-sa-010', 'not-wf-sa-011', 'not-wf-sa-012',
+ 'not-wf-sa-013', 'not-wf-sa-014', 'not-wf-sa-015',
+ 'not-wf-sa-016', 'not-wf-sa-017', 'not-wf-sa-018',
+ 'not-wf-sa-019', 'not-wf-sa-020', 'not-wf-sa-021',
+ 'not-wf-sa-022', 'not-wf-sa-023', 'not-wf-sa-024',
+ 'not-wf-sa-025', 'not-wf-sa-026', 'not-wf-sa-027',
+ 'not-wf-sa-028', 'not-wf-sa-029', 'not-wf-sa-030',
+ 'not-wf-sa-031', 'not-wf-sa-032', 'not-wf-sa-033',
+ 'not-wf-sa-034', 'not-wf-sa-035', 'not-wf-sa-036',
+ 'not-wf-sa-037', 'not-wf-sa-038', 'not-wf-sa-039',
+ 'not-wf-sa-040', 'not-wf-sa-041', 'not-wf-sa-042',
+ 'not-wf-sa-043', 'not-wf-sa-044', 'not-wf-sa-045',
+ 'not-wf-sa-046', 'not-wf-sa-047', 'not-wf-sa-048',
+ 'not-wf-sa-049', 'not-wf-sa-050', 'not-wf-sa-051',
+ 'not-wf-sa-052', 'not-wf-sa-053', 'not-wf-sa-054',
+ 'not-wf-sa-055', 'not-wf-sa-056', 'not-wf-sa-057',
+ 'not-wf-sa-058', 'not-wf-sa-059', 'not-wf-sa-060',
+ 'not-wf-sa-061', 'not-wf-sa-062', 'not-wf-sa-063',
+ 'not-wf-sa-064', 'not-wf-sa-065', 'not-wf-sa-066',
+ 'not-wf-sa-067', 'not-wf-sa-068', 'not-wf-sa-069',
+ 'not-wf-sa-070', 'not-wf-sa-071', 'not-wf-sa-072',
+ 'not-wf-sa-073', 'not-wf-sa-074', 'not-wf-sa-075',
+ 'not-wf-sa-076', 'not-wf-sa-077', 'not-wf-sa-078',
+ 'not-wf-sa-079', 'not-wf-sa-080', 'not-wf-sa-081',
+ 'not-wf-sa-082', 'not-wf-sa-083', 'not-wf-sa-084',
+ 'not-wf-sa-085', 'not-wf-sa-086', 'not-wf-sa-087',
+ 'not-wf-sa-088', 'not-wf-sa-089', 'not-wf-sa-090',
+ 'not-wf-sa-091', 'not-wf-sa-092', 'not-wf-sa-093',
+ 'not-wf-sa-094', 'not-wf-sa-095', 'not-wf-sa-096',
+ 'not-wf-sa-097', 'not-wf-sa-098', 'not-wf-sa-099',
+ 'not-wf-sa-100', 'not-wf-sa-101', 'not-wf-sa-102',
+ 'not-wf-sa-103', 'not-wf-sa-104', 'not-wf-sa-105',
+ 'not-wf-sa-106', 'not-wf-sa-107', 'not-wf-sa-108',
+ 'not-wf-sa-109', 'not-wf-sa-110', 'not-wf-sa-111',
+ 'not-wf-sa-112', 'not-wf-sa-113', 'not-wf-sa-114',
+ 'not-wf-sa-115', 'not-wf-sa-116', 'not-wf-sa-117',
+ 'not-wf-sa-118', 'not-wf-sa-119', 'not-wf-sa-120',
+ 'not-wf-sa-121', 'not-wf-sa-122', 'not-wf-sa-123',
+ 'not-wf-sa-124', 'not-wf-sa-125', 'not-wf-sa-126',
+ 'not-wf-sa-127', 'not-wf-sa-128', 'not-wf-sa-129',
+ 'not-wf-sa-130', 'not-wf-sa-131', 'not-wf-sa-132',
+ 'not-wf-sa-133', 'not-wf-sa-134', 'not-wf-sa-135',
+ 'not-wf-sa-136', 'not-wf-sa-137', 'not-wf-sa-138',
+ 'not-wf-sa-139', 'not-wf-sa-140', 'not-wf-sa-141',
+ 'not-wf-sa-142', 'not-wf-sa-143', 'not-wf-sa-144',
+ 'not-wf-sa-145', 'not-wf-sa-146', 'not-wf-sa-147',
+ 'not-wf-sa-148', 'not-wf-sa-149', 'not-wf-sa-150',
+ 'not-wf-sa-151', 'not-wf-sa-152', 'not-wf-sa-153',
+ 'not-wf-sa-154', 'not-wf-sa-155', 'not-wf-sa-156',
+ 'not-wf-sa-157', 'not-wf-sa-158', 'not-wf-sa-159',
+ 'not-wf-sa-160', 'not-wf-sa-161', 'not-wf-sa-162',
+ 'not-wf-sa-163', 'not-wf-sa-164', 'not-wf-sa-165',
+ 'not-wf-sa-166', 'not-wf-sa-167', 'not-wf-sa-168',
+ 'not-wf-sa-169', 'not-wf-sa-170', 'not-wf-sa-171',
+ 'not-wf-sa-172', 'not-wf-sa-173', 'not-wf-sa-174',
+ 'not-wf-sa-175', 'not-wf-sa-176', 'not-wf-sa-177',
+ 'not-wf-sa-178', 'not-wf-sa-179', 'not-wf-sa-180',
+ 'not-wf-sa-181', 'not-wf-sa-182', 'not-wf-sa-183',
+ 'not-wf-sa-184', 'not-wf-sa-185', 'not-wf-sa-186',
+ 'not-wf-not-sa-001', 'not-wf-not-sa-002',
+ 'not-wf-not-sa-003', 'not-wf-not-sa-004',
+ 'not-wf-not-sa-005', 'not-wf-not-sa-006',
+ 'not-wf-not-sa-007', 'not-wf-not-sa-008',
+ 'not-wf-not-sa-009', 'not-wf-ext-sa-001',
+ 'not-wf-ext-sa-002', 'not-wf-ext-sa-003',
+ 'invalid--002', 'invalid--005', 'invalid--006',
+ 'invalid-not-sa-022', 'valid-sa-001', 'valid-sa-002',
+ 'valid-sa-003', 'valid-sa-004', 'valid-sa-005',
+ 'valid-sa-006', 'valid-sa-007', 'valid-sa-008',
+ 'valid-sa-009', 'valid-sa-010', 'valid-sa-011',
+ 'valid-sa-012', 'valid-sa-013', 'valid-sa-014',
+ 'valid-sa-015', 'valid-sa-016', 'valid-sa-017',
+ 'valid-sa-018', 'valid-sa-019', 'valid-sa-020',
+ 'valid-sa-021', 'valid-sa-022', 'valid-sa-023',
+ 'valid-sa-024', 'valid-sa-025', 'valid-sa-026',
+ 'valid-sa-027', 'valid-sa-028', 'valid-sa-029',
+ 'valid-sa-030', 'valid-sa-031', 'valid-sa-032',
+ 'valid-sa-033', 'valid-sa-034', 'valid-sa-035',
+ 'valid-sa-036', 'valid-sa-037', 'valid-sa-038',
+ 'valid-sa-039', 'valid-sa-040', 'valid-sa-041',
+ 'valid-sa-042', 'valid-sa-043', 'valid-sa-044',
+ 'valid-sa-045', 'valid-sa-046', 'valid-sa-047',
+ 'valid-sa-048', 'valid-sa-049', 'valid-sa-050',
+ 'valid-sa-051', 'valid-sa-052', 'valid-sa-053',
+ 'valid-sa-054', 'valid-sa-055', 'valid-sa-056',
+ 'valid-sa-057', 'valid-sa-058', 'valid-sa-059',
+ 'valid-sa-060', 'valid-sa-061', 'valid-sa-062',
+ 'valid-sa-063', 'valid-sa-064', 'valid-sa-065',
+ 'valid-sa-066', 'valid-sa-067', 'valid-sa-068',
+ 'valid-sa-069', 'valid-sa-070', 'valid-sa-071',
+ 'valid-sa-072', 'valid-sa-073', 'valid-sa-074',
+ 'valid-sa-075', 'valid-sa-076', 'valid-sa-077',
+ 'valid-sa-078', 'valid-sa-079', 'valid-sa-080',
+ 'valid-sa-081', 'valid-sa-082', 'valid-sa-083',
+ 'valid-sa-084', 'valid-sa-085', 'valid-sa-086',
+ 'valid-sa-087', 'valid-sa-088', 'valid-sa-089',
+ 'valid-sa-090', 'valid-sa-091', 'valid-sa-092',
+ 'valid-sa-093', 'valid-sa-094', 'valid-sa-095',
+ 'valid-sa-096', 'valid-sa-097', 'valid-sa-098',
+ 'valid-sa-099', 'valid-sa-100', 'valid-sa-101',
+ 'valid-sa-102', 'valid-sa-103', 'valid-sa-104',
+ 'valid-sa-105', 'valid-sa-106', 'valid-sa-107',
+ 'valid-sa-108', 'valid-sa-109', 'valid-sa-110',
+ 'valid-sa-111', 'valid-sa-112', 'valid-sa-113',
+ 'valid-sa-114', 'valid-sa-115', 'valid-sa-116',
+ 'valid-sa-117', 'valid-sa-118', 'valid-sa-119',
+ 'valid-not-sa-001', 'valid-not-sa-002',
+ 'valid-not-sa-003', 'valid-not-sa-004',
+ 'valid-not-sa-005', 'valid-not-sa-006',
+ 'valid-not-sa-007', 'valid-not-sa-008',
+ 'valid-not-sa-009', 'valid-not-sa-010',
+ 'valid-not-sa-011', 'valid-not-sa-012',
+ 'valid-not-sa-013', 'valid-not-sa-014',
+ 'valid-not-sa-015', 'valid-not-sa-016',
+ 'valid-not-sa-017', 'valid-not-sa-018',
+ 'valid-not-sa-019', 'valid-not-sa-020',
+ 'valid-not-sa-021', 'valid-not-sa-023',
+ 'valid-not-sa-024', 'valid-not-sa-025',
+ 'valid-not-sa-026', 'valid-not-sa-027',
+ 'valid-not-sa-028', 'valid-not-sa-029',
+ 'valid-not-sa-030', 'valid-not-sa-031',
+ 'valid-ext-sa-001', 'valid-ext-sa-002',
+ 'valid-ext-sa-003', 'valid-ext-sa-004',
+ 'valid-ext-sa-005', 'valid-ext-sa-006',
+ 'valid-ext-sa-007', 'valid-ext-sa-008',
+ 'valid-ext-sa-009', 'valid-ext-sa-011',
+ 'valid-ext-sa-012', 'valid-ext-sa-013',
+ 'valid-ext-sa-014']},
+ {testcases1, [], [{group, testcases2}]},
+ {testcases4, [],
+ ['pr-xml-euc-jp', 'pr-xml-iso-2022-jp', 'pr-xml-little',
+ 'pr-xml-shift_jis', 'pr-xml-utf-16', 'pr-xml-utf-8',
+ 'weekly-euc-jp', 'weekly-iso-2022-jp', 'weekly-little',
+ 'weekly-shift_jis', 'weekly-utf-16', 'weekly-utf-8']},
+ {testcases3, [], [{group, testcases4}]},
+ {testcases5, [],
+ [pe01, dtd00, dtd01, element, ext01, ext02, 'not-sa01',
+ 'not-sa02', 'not-sa03', 'not-sa04', notation01,
+ optional, required00, sa01, sa02, sa03, sa04, sa05,
+ 'v-sgml01', 'v-lang01', 'v-lang02', 'v-lang03',
+ 'v-lang04', 'v-lang05', 'v-lang06', 'v-pe00', 'v-pe03',
+ 'v-pe02', 'inv-dtd01', 'inv-dtd02', 'inv-dtd03', el01,
+ el02, el03, el04, el05, el06, id01, id02, id03, id04,
+ id05, id06, id07, id08, id09, 'inv-not-sa01',
+ 'inv-not-sa02', 'inv-not-sa04', 'inv-not-sa05',
+ 'inv-not-sa06', 'inv-not-sa07', 'inv-not-sa08',
+ 'inv-not-sa09', 'inv-not-sa10', 'inv-not-sa11',
+ 'inv-not-sa12', 'inv-not-sa13', 'inv-not-sa14',
+ optional01, optional02, optional03, optional04,
+ optional05, optional06, optional07, optional08,
+ optional09, optional10, optional11, optional12,
+ optional13, optional14, optional20, optional21,
+ optional22, optional23, optional24, optional25,
+ 'inv-required00', 'inv-required01', 'inv-required02',
+ root, attr01, attr02, attr03, attr04, attr05, attr06,
+ attr07, attr08, attr09, attr10, attr11, attr12, attr13,
+ attr14, attr15, attr16, utf16b, utf16l, empty,
+ 'not-wf-sa03', attlist01, attlist02, attlist03,
+ attlist04, attlist05, attlist06, attlist07, attlist08,
+ attlist09, attlist10, attlist11, cond01, cond02,
+ content01, content02, content03, decl01, 'nwf-dtd00',
+ 'nwf-dtd01', dtd02, dtd03, dtd04, dtd05, dtd07,
+ element00, element01, element02, element03, element04,
+ encoding01, encoding02, encoding03, encoding04,
+ encoding05, encoding06, encoding07, pi, pubid01,
+ pubid02, pubid03, pubid04, pubid05, sgml01, sgml02,
+ sgml03, sgml04, sgml05, sgml06, sgml07, sgml08, sgml09,
+ sgml10, sgml11, sgml12, sgml13, uri01]},
+ {testcases7, [],
+ ['o-p01pass2', 'o-p06pass1', 'o-p07pass1', 'o-p08pass1',
+ 'o-p09pass1', 'o-p12pass1', 'o-p22pass4', 'o-p22pass5',
+ 'o-p22pass6', 'o-p28pass1', 'o-p28pass3', 'o-p28pass4',
+ 'o-p28pass5', 'o-p29pass1', 'o-p30pass1', 'o-p30pass2',
+ 'o-p31pass1', 'o-p31pass2', 'o-p43pass1', 'o-p45pass1',
+ 'o-p46pass1', 'o-p47pass1', 'o-p48pass1', 'o-p49pass1',
+ 'o-p50pass1', 'o-p51pass1', 'o-p52pass1', 'o-p53pass1',
+ 'o-p54pass1', 'o-p55pass1', 'o-p56pass1', 'o-p57pass1',
+ 'o-p58pass1', 'o-p59pass1', 'o-p60pass1', 'o-p61pass1',
+ 'o-p62pass1', 'o-p63pass1', 'o-p64pass1', 'o-p68pass1',
+ 'o-p69pass1', 'o-p70pass1', 'o-p71pass1', 'o-p72pass1',
+ 'o-p73pass1', 'o-p76pass1', 'o-p01pass1', 'o-p01pass3',
+ 'o-p03pass1', 'o-p04pass1', 'o-p05pass1', 'o-p06fail1',
+ 'o-p08fail1', 'o-p08fail2', 'o-p10pass1', 'o-p14pass1',
+ 'o-p15pass1', 'o-p16pass1', 'o-p16pass2', 'o-p16pass3',
+ 'o-p18pass1', 'o-p22pass1', 'o-p22pass2', 'o-p22pass3',
+ 'o-p23pass1', 'o-p23pass2', 'o-p23pass3', 'o-p23pass4',
+ 'o-p24pass1', 'o-p24pass2', 'o-p24pass3', 'o-p24pass4',
+ 'o-p25pass1', 'o-p25pass2', 'o-p26pass1', 'o-p27pass1',
+ 'o-p27pass2', 'o-p27pass3', 'o-p27pass4', 'o-p32pass1',
+ 'o-p32pass2', 'o-p39pass1', 'o-p39pass2', 'o-p40pass1',
+ 'o-p40pass2', 'o-p40pass3', 'o-p40pass4', 'o-p41pass1',
+ 'o-p41pass2', 'o-p42pass1', 'o-p42pass2', 'o-p44pass1',
+ 'o-p44pass2', 'o-p44pass3', 'o-p44pass4', 'o-p44pass5',
+ 'o-p66pass1', 'o-p74pass1', 'o-p75pass1', 'o-e2',
+ 'o-p01fail1', 'o-p01fail2', 'o-p01fail3', 'o-p01fail4',
+ 'o-p02fail1', 'o-p02fail10', 'o-p02fail11',
+ 'o-p02fail12', 'o-p02fail13', 'o-p02fail14',
+ 'o-p02fail15', 'o-p02fail16', 'o-p02fail17',
+ 'o-p02fail18', 'o-p02fail19', 'o-p02fail2',
+ 'o-p02fail20', 'o-p02fail21', 'o-p02fail22',
+ 'o-p02fail23', 'o-p02fail24', 'o-p02fail25',
+ 'o-p02fail26', 'o-p02fail27', 'o-p02fail28',
+ 'o-p02fail29', 'o-p02fail3', 'o-p02fail30',
+ 'o-p02fail31', 'o-p02fail4', 'o-p02fail5', 'o-p02fail6',
+ 'o-p02fail7', 'o-p02fail8', 'o-p02fail9', 'o-p03fail1',
+ 'o-p03fail10', 'o-p03fail11', 'o-p03fail12',
+ 'o-p03fail13', 'o-p03fail14', 'o-p03fail15',
+ 'o-p03fail16', 'o-p03fail17', 'o-p03fail18',
+ 'o-p03fail19', 'o-p03fail2', 'o-p03fail20',
+ 'o-p03fail21', 'o-p03fail22', 'o-p03fail23',
+ 'o-p03fail24', 'o-p03fail25', 'o-p03fail26',
+ 'o-p03fail27', 'o-p03fail28', 'o-p03fail29',
+ 'o-p03fail3', 'o-p03fail4', 'o-p03fail5', 'o-p03fail7',
+ 'o-p03fail8', 'o-p03fail9', 'o-p04fail1', 'o-p04fail2',
+ 'o-p04fail3', 'o-p05fail1', 'o-p05fail2', 'o-p05fail3',
+ 'o-p05fail4', 'o-p05fail5', 'o-p09fail1', 'o-p09fail2',
+ 'o-p09fail3', 'o-p09fail4', 'o-p09fail5', 'o-p10fail1',
+ 'o-p10fail2', 'o-p10fail3', 'o-p11fail1', 'o-p11fail2',
+ 'o-p12fail1', 'o-p12fail2', 'o-p12fail3', 'o-p12fail4',
+ 'o-p12fail5', 'o-p12fail6', 'o-p12fail7', 'o-p14fail1',
+ 'o-p14fail2', 'o-p14fail3', 'o-p15fail1', 'o-p15fail2',
+ 'o-p15fail3', 'o-p16fail1', 'o-p16fail2', 'o-p16fail3',
+ 'o-p18fail1', 'o-p18fail2', 'o-p18fail3', 'o-p22fail1',
+ 'o-p22fail2', 'o-p23fail1', 'o-p23fail2', 'o-p23fail3',
+ 'o-p23fail4', 'o-p23fail5', 'o-p24fail1', 'o-p24fail2',
+ 'o-p25fail1', 'o-p26fail1', 'o-p26fail2', 'o-p27fail1',
+ 'o-p28fail1', 'o-p29fail1', 'o-p30fail1', 'o-p31fail1',
+ 'o-p32fail1', 'o-p32fail2', 'o-p32fail3', 'o-p32fail4',
+ 'o-p32fail5', 'o-p39fail1', 'o-p39fail2', 'o-p39fail3',
+ 'o-p39fail4', 'o-p39fail5', 'o-p40fail1', 'o-p40fail2',
+ 'o-p40fail3', 'o-p40fail4', 'o-p41fail1', 'o-p41fail2',
+ 'o-p41fail3', 'o-p42fail1', 'o-p42fail2', 'o-p42fail3',
+ 'o-p43fail1', 'o-p43fail2', 'o-p43fail3', 'o-p44fail1',
+ 'o-p44fail2', 'o-p44fail3', 'o-p44fail4', 'o-p44fail5',
+ 'o-p45fail1', 'o-p45fail2', 'o-p45fail3', 'o-p45fail4',
+ 'o-p46fail1', 'o-p46fail2', 'o-p46fail3', 'o-p46fail4',
+ 'o-p46fail5', 'o-p46fail6', 'o-p47fail1', 'o-p47fail2',
+ 'o-p47fail3', 'o-p47fail4', 'o-p48fail1', 'o-p48fail2',
+ 'o-p49fail1', 'o-p50fail1', 'o-p51fail1', 'o-p51fail2',
+ 'o-p51fail3', 'o-p51fail4', 'o-p51fail5', 'o-p51fail6',
+ 'o-p51fail7', 'o-p52fail1', 'o-p52fail2', 'o-p53fail1',
+ 'o-p53fail2', 'o-p53fail3', 'o-p53fail4', 'o-p53fail5',
+ 'o-p54fail1', 'o-p55fail1', 'o-p56fail1', 'o-p56fail2',
+ 'o-p56fail3', 'o-p56fail4', 'o-p56fail5', 'o-p57fail1',
+ 'o-p58fail1', 'o-p58fail2', 'o-p58fail3', 'o-p58fail4',
+ 'o-p58fail5', 'o-p58fail6', 'o-p58fail7', 'o-p58fail8',
+ 'o-p59fail1', 'o-p59fail2', 'o-p59fail3', 'o-p60fail1',
+ 'o-p60fail2', 'o-p60fail3', 'o-p60fail4', 'o-p60fail5',
+ 'o-p61fail1', 'o-p62fail1', 'o-p62fail2', 'o-p63fail1',
+ 'o-p63fail2', 'o-p64fail1', 'o-p64fail2', 'o-p66fail1',
+ 'o-p66fail2', 'o-p66fail3', 'o-p66fail4', 'o-p66fail5',
+ 'o-p66fail6', 'o-p68fail1', 'o-p68fail2', 'o-p68fail3',
+ 'o-p69fail1', 'o-p69fail2', 'o-p69fail3', 'o-p70fail1',
+ 'o-p71fail1', 'o-p71fail2', 'o-p71fail3', 'o-p71fail4',
+ 'o-p72fail1', 'o-p72fail2', 'o-p72fail3', 'o-p72fail4',
+ 'o-p73fail1', 'o-p73fail2', 'o-p73fail3', 'o-p73fail4',
+ 'o-p73fail5', 'o-p74fail1', 'o-p74fail2', 'o-p74fail3',
+ 'o-p75fail1', 'o-p75fail2', 'o-p75fail3', 'o-p75fail4',
+ 'o-p75fail5', 'o-p75fail6', 'o-p76fail1', 'o-p76fail2',
+ 'o-p76fail3', 'o-p76fail4', 'o-p11pass1']},
+ {testcases6, [], [{group, testcases7}]},
+ {testcases10, [], ['ibm-invalid-P28-ibm28i01']},
+ {testcases11, [],
+ ['ibm-invalid-P32-ibm32i01', 'ibm-invalid-P32-ibm32i03',
+ 'ibm-invalid-P32-ibm32i04']},
+ {testcases12, [],
+ ['ibm-invalid-P39-ibm39i01', 'ibm-invalid-P39-ibm39i02',
+ 'ibm-invalid-P39-ibm39i03',
+ 'ibm-invalid-P39-ibm39i04']},
+ {testcases13, [],
+ ['ibm-invalid-P41-ibm41i01',
+ 'ibm-invalid-P41-ibm41i02']},
+ {testcases14, [], ['ibm-invalid-P45-ibm45i01']},
+ {testcases15, [], ['ibm-invalid-P49-ibm49i01']},
+ {testcases16, [], ['ibm-invalid-P50-ibm50i01']},
+ {testcases17, [],
+ ['ibm-invalid-P51-ibm51i01',
+ 'ibm-invalid-P51-ibm51i03']},
+ {testcases18, [],
+ ['ibm-invalid-P56-ibm56i01', 'ibm-invalid-P56-ibm56i02',
+ 'ibm-invalid-P56-ibm56i03', 'ibm-invalid-P56-ibm56i05',
+ 'ibm-invalid-P56-ibm56i06', 'ibm-invalid-P56-ibm56i07',
+ 'ibm-invalid-P56-ibm56i08', 'ibm-invalid-P56-ibm56i09',
+ 'ibm-invalid-P56-ibm56i10', 'ibm-invalid-P56-ibm56i11',
+ 'ibm-invalid-P56-ibm56i12', 'ibm-invalid-P56-ibm56i13',
+ 'ibm-invalid-P56-ibm56i14', 'ibm-invalid-P56-ibm56i15',
+ 'ibm-invalid-P56-ibm56i16', 'ibm-invalid-P56-ibm56i17',
+ 'ibm-invalid-P56-ibm56i18']},
+ {testcases19, [],
+ ['ibm-invalid-P58-ibm58i01',
+ 'ibm-invalid-P58-ibm58i02']},
+ {testcases20, [], ['ibm-invalid-P59-ibm59i01']},
+ {testcases21, [],
+ ['ibm-invalid-P60-ibm60i01', 'ibm-invalid-P60-ibm60i02',
+ 'ibm-invalid-P60-ibm60i03',
+ 'ibm-invalid-P60-ibm60i04']},
+ {testcases22, [],
+ ['ibm-invalid-P68-ibm68i01', 'ibm-invalid-P68-ibm68i02',
+ 'ibm-invalid-P68-ibm68i03',
+ 'ibm-invalid-P68-ibm68i04']},
+ {testcases23, [],
+ ['ibm-invalid-P69-ibm69i01', 'ibm-invalid-P69-ibm69i02',
+ 'ibm-invalid-P69-ibm69i03',
+ 'ibm-invalid-P69-ibm69i04']},
+ {testcases24, [], ['ibm-invalid-P76-ibm76i01']},
+ {testcases9, [],
+ [{group, testcases10}, {group, testcases11},
+ {group, testcases12}, {group, testcases13},
+ {group, testcases14}, {group, testcases15},
+ {group, testcases16}, {group, testcases17},
+ {group, testcases18}, {group, testcases19},
+ {group, testcases20}, {group, testcases21},
+ {group, testcases22}, {group, testcases23},
+ {group, testcases24}]},
+ {testcases26, [],
+ ['ibm-not-wf-P01-ibm01n01', 'ibm-not-wf-P01-ibm01n02',
+ 'ibm-not-wf-P01-ibm01n03']},
+ {testcases27, [],
+ ['ibm-not-wf-P02-ibm02n01', 'ibm-not-wf-P02-ibm02n02',
+ 'ibm-not-wf-P02-ibm02n03', 'ibm-not-wf-P02-ibm02n04',
+ 'ibm-not-wf-P02-ibm02n05', 'ibm-not-wf-P02-ibm02n06',
+ 'ibm-not-wf-P02-ibm02n07', 'ibm-not-wf-P02-ibm02n08',
+ 'ibm-not-wf-P02-ibm02n09', 'ibm-not-wf-P02-ibm02n10',
+ 'ibm-not-wf-P02-ibm02n11', 'ibm-not-wf-P02-ibm02n12',
+ 'ibm-not-wf-P02-ibm02n13', 'ibm-not-wf-P02-ibm02n14',
+ 'ibm-not-wf-P02-ibm02n15', 'ibm-not-wf-P02-ibm02n16',
+ 'ibm-not-wf-P02-ibm02n17', 'ibm-not-wf-P02-ibm02n18',
+ 'ibm-not-wf-P02-ibm02n19', 'ibm-not-wf-P02-ibm02n20',
+ 'ibm-not-wf-P02-ibm02n21', 'ibm-not-wf-P02-ibm02n22',
+ 'ibm-not-wf-P02-ibm02n23', 'ibm-not-wf-P02-ibm02n24',
+ 'ibm-not-wf-P02-ibm02n25', 'ibm-not-wf-P02-ibm02n26',
+ 'ibm-not-wf-P02-ibm02n27', 'ibm-not-wf-P02-ibm02n28',
+ 'ibm-not-wf-P02-ibm02n29', 'ibm-not-wf-P02-ibm02n30',
+ 'ibm-not-wf-P02-ibm02n31', 'ibm-not-wf-P02-ibm02n32',
+ 'ibm-not-wf-P02-ibm02n33']},
+ {testcases28, [], ['ibm-not-wf-P03-ibm03n01']},
+ {testcases29, [],
+ ['ibm-not-wf-P04-ibm04n01', 'ibm-not-wf-P04-ibm04n02',
+ 'ibm-not-wf-P04-ibm04n03', 'ibm-not-wf-P04-ibm04n04',
+ 'ibm-not-wf-P04-ibm04n05', 'ibm-not-wf-P04-ibm04n06',
+ 'ibm-not-wf-P04-ibm04n07', 'ibm-not-wf-P04-ibm04n08',
+ 'ibm-not-wf-P04-ibm04n09', 'ibm-not-wf-P04-ibm04n10',
+ 'ibm-not-wf-P04-ibm04n11', 'ibm-not-wf-P04-ibm04n12',
+ 'ibm-not-wf-P04-ibm04n13', 'ibm-not-wf-P04-ibm04n14',
+ 'ibm-not-wf-P04-ibm04n15', 'ibm-not-wf-P04-ibm04n16',
+ 'ibm-not-wf-P04-ibm04n17', 'ibm-not-wf-P04-ibm04n18']},
+ {testcases30, [],
+ ['ibm-not-wf-P05-ibm05n01', 'ibm-not-wf-P05-ibm05n02',
+ 'ibm-not-wf-P05-ibm05n03']},
+ {testcases31, [],
+ ['ibm-not-wf-P09-ibm09n01', 'ibm-not-wf-P09-ibm09n02',
+ 'ibm-not-wf-P09-ibm09n03', 'ibm-not-wf-P09-ibm09n04']},
+ {testcases32, [],
+ ['ibm-not-wf-P10-ibm10n01', 'ibm-not-wf-P10-ibm10n02',
+ 'ibm-not-wf-P10-ibm10n03', 'ibm-not-wf-P10-ibm10n04',
+ 'ibm-not-wf-P10-ibm10n05', 'ibm-not-wf-P10-ibm10n06',
+ 'ibm-not-wf-P10-ibm10n07', 'ibm-not-wf-P10-ibm10n08']},
+ {testcases33, [],
+ ['ibm-not-wf-P11-ibm11n01', 'ibm-not-wf-P11-ibm11n02',
+ 'ibm-not-wf-P11-ibm11n03', 'ibm-not-wf-P11-ibm11n04']},
+ {testcases34, [],
+ ['ibm-not-wf-P12-ibm12n01', 'ibm-not-wf-P12-ibm12n02',
+ 'ibm-not-wf-P12-ibm12n03']},
+ {testcases35, [],
+ ['ibm-not-wf-P13-ibm13n01', 'ibm-not-wf-P13-ibm13n02',
+ 'ibm-not-wf-P13-ibm13n03']},
+ {testcases36, [],
+ ['ibm-not-wf-P14-ibm14n01', 'ibm-not-wf-P14-ibm14n02',
+ 'ibm-not-wf-P14-ibm14n03']},
+ {testcases37, [],
+ ['ibm-not-wf-P15-ibm15n01', 'ibm-not-wf-P15-ibm15n02',
+ 'ibm-not-wf-P15-ibm15n03', 'ibm-not-wf-P15-ibm15n04']},
+ {testcases38, [],
+ ['ibm-not-wf-P16-ibm16n01', 'ibm-not-wf-P16-ibm16n02',
+ 'ibm-not-wf-P16-ibm16n03', 'ibm-not-wf-P16-ibm16n04']},
+ {testcases39, [],
+ ['ibm-not-wf-P17-ibm17n01', 'ibm-not-wf-P17-ibm17n02',
+ 'ibm-not-wf-P17-ibm17n03', 'ibm-not-wf-P17-ibm17n04']},
+ {testcases40, [],
+ ['ibm-not-wf-P18-ibm18n01', 'ibm-not-wf-P18-ibm18n02']},
+ {testcases41, [],
+ ['ibm-not-wf-P19-ibm19n01', 'ibm-not-wf-P19-ibm19n02',
+ 'ibm-not-wf-P19-ibm19n03']},
+ {testcases42, [], ['ibm-not-wf-P20-ibm20n01']},
+ {testcases43, [],
+ ['ibm-not-wf-P21-ibm21n01', 'ibm-not-wf-P21-ibm21n02',
+ 'ibm-not-wf-P21-ibm21n03']},
+ {testcases44, [],
+ ['ibm-not-wf-P22-ibm22n01', 'ibm-not-wf-P22-ibm22n02',
+ 'ibm-not-wf-P22-ibm22n03']},
+ {testcases45, [],
+ ['ibm-not-wf-P23-ibm23n01', 'ibm-not-wf-P23-ibm23n02',
+ 'ibm-not-wf-P23-ibm23n03', 'ibm-not-wf-P23-ibm23n04',
+ 'ibm-not-wf-P23-ibm23n05', 'ibm-not-wf-P23-ibm23n06']},
+ {testcases46, [],
+ ['ibm-not-wf-P24-ibm24n01', 'ibm-not-wf-P24-ibm24n02',
+ 'ibm-not-wf-P24-ibm24n03', 'ibm-not-wf-P24-ibm24n04',
+ 'ibm-not-wf-P24-ibm24n05', 'ibm-not-wf-P24-ibm24n06',
+ 'ibm-not-wf-P24-ibm24n07', 'ibm-not-wf-P24-ibm24n08',
+ 'ibm-not-wf-P24-ibm24n09']},
+ {testcases47, [],
+ ['ibm-not-wf-P25-ibm25n01', 'ibm-not-wf-P25-ibm25n02']},
+ {testcases48, [], ['ibm-not-wf-P26-ibm26n01']},
+ {testcases49, [], ['ibm-not-wf-P27-ibm27n01']},
+ {testcases50, [],
+ ['ibm-not-wf-P28-ibm28n01', 'ibm-not-wf-P28-ibm28n02',
+ 'ibm-not-wf-P28-ibm28n03', 'ibm-not-wf-P28-ibm28n04',
+ 'ibm-not-wf-P28-ibm28n05', 'ibm-not-wf-P28-ibm28n06',
+ 'ibm-not-wf-P28-ibm28n07', 'ibm-not-wf-P28-ibm28n08']},
+ {testcases51, [], ['ibm-not-wf-p28a-ibm28an01']},
+ {testcases52, [],
+ ['ibm-not-wf-P29-ibm29n01', 'ibm-not-wf-P29-ibm29n02',
+ 'ibm-not-wf-P29-ibm29n03', 'ibm-not-wf-P29-ibm29n04',
+ 'ibm-not-wf-P29-ibm29n05', 'ibm-not-wf-P29-ibm29n06',
+ 'ibm-not-wf-P29-ibm29n07']},
+ {testcases53, [], ['ibm-not-wf-P30-ibm30n01']},
+ {testcases54, [], ['ibm-not-wf-P31-ibm31n01']},
+ {testcases55, [],
+ ['ibm-not-wf-P32-ibm32n01', 'ibm-not-wf-P32-ibm32n02',
+ 'ibm-not-wf-P32-ibm32n03', 'ibm-not-wf-P32-ibm32n04',
+ 'ibm-not-wf-P32-ibm32n05', 'ibm-not-wf-P32-ibm32n06',
+ 'ibm-not-wf-P32-ibm32n07', 'ibm-not-wf-P32-ibm32n08',
+ 'ibm-not-wf-P32-ibm32n09']},
+ {testcases56, [],
+ ['ibm-not-wf-P39-ibm39n01', 'ibm-not-wf-P39-ibm39n02',
+ 'ibm-not-wf-P39-ibm39n03', 'ibm-not-wf-P39-ibm39n04',
+ 'ibm-not-wf-P39-ibm39n05', 'ibm-not-wf-P39-ibm39n06']},
+ {testcases57, [],
+ ['ibm-not-wf-P40-ibm40n01', 'ibm-not-wf-P40-ibm40n02',
+ 'ibm-not-wf-P40-ibm40n03', 'ibm-not-wf-P40-ibm40n04',
+ 'ibm-not-wf-P40-ibm40n05']},
+ {testcases58, [],
+ ['ibm-not-wf-P41-ibm41n01', 'ibm-not-wf-P41-ibm41n02',
+ 'ibm-not-wf-P41-ibm41n03', 'ibm-not-wf-P41-ibm41n04',
+ 'ibm-not-wf-P41-ibm41n05', 'ibm-not-wf-P41-ibm41n06',
+ 'ibm-not-wf-P41-ibm41n07', 'ibm-not-wf-P41-ibm41n08',
+ 'ibm-not-wf-P41-ibm41n09', 'ibm-not-wf-P41-ibm41n10',
+ 'ibm-not-wf-P41-ibm41n11', 'ibm-not-wf-P41-ibm41n12',
+ 'ibm-not-wf-P41-ibm41n13', 'ibm-not-wf-P41-ibm41n14']},
+ {testcases59, [],
+ ['ibm-not-wf-P42-ibm42n01', 'ibm-not-wf-P42-ibm42n02',
+ 'ibm-not-wf-P42-ibm42n03', 'ibm-not-wf-P42-ibm42n04',
+ 'ibm-not-wf-P42-ibm42n05']},
+ {testcases60, [],
+ ['ibm-not-wf-P43-ibm43n01', 'ibm-not-wf-P43-ibm43n02',
+ 'ibm-not-wf-P43-ibm43n04', 'ibm-not-wf-P43-ibm43n05']},
+ {testcases61, [],
+ ['ibm-not-wf-P44-ibm44n01', 'ibm-not-wf-P44-ibm44n02',
+ 'ibm-not-wf-P44-ibm44n03', 'ibm-not-wf-P44-ibm44n04']},
+ {testcases62, [],
+ ['ibm-not-wf-P45-ibm45n01', 'ibm-not-wf-P45-ibm45n02',
+ 'ibm-not-wf-P45-ibm45n03', 'ibm-not-wf-P45-ibm45n04',
+ 'ibm-not-wf-P45-ibm45n05', 'ibm-not-wf-P45-ibm45n06',
+ 'ibm-not-wf-P45-ibm45n07', 'ibm-not-wf-P45-ibm45n08',
+ 'ibm-not-wf-P45-ibm45n09']},
+ {testcases63, [],
+ ['ibm-not-wf-P46-ibm46n01', 'ibm-not-wf-P46-ibm46n02',
+ 'ibm-not-wf-P46-ibm46n03', 'ibm-not-wf-P46-ibm46n04',
+ 'ibm-not-wf-P46-ibm46n05']},
+ {testcases64, [],
+ ['ibm-not-wf-P47-ibm47n01', 'ibm-not-wf-P47-ibm47n02',
+ 'ibm-not-wf-P47-ibm47n03', 'ibm-not-wf-P47-ibm47n04',
+ 'ibm-not-wf-P47-ibm47n05', 'ibm-not-wf-P47-ibm47n06']},
+ {testcases65, [],
+ ['ibm-not-wf-P48-ibm48n01', 'ibm-not-wf-P48-ibm48n02',
+ 'ibm-not-wf-P48-ibm48n03', 'ibm-not-wf-P48-ibm48n04',
+ 'ibm-not-wf-P48-ibm48n05', 'ibm-not-wf-P48-ibm48n06',
+ 'ibm-not-wf-P48-ibm48n07']},
+ {testcases66, [],
+ ['ibm-not-wf-P49-ibm49n01', 'ibm-not-wf-P49-ibm49n02',
+ 'ibm-not-wf-P49-ibm49n03', 'ibm-not-wf-P49-ibm49n04',
+ 'ibm-not-wf-P49-ibm49n05', 'ibm-not-wf-P49-ibm49n06']},
+ {testcases67, [],
+ ['ibm-not-wf-P50-ibm50n01','ibm-not-wf-P50-ibm50n02',
+ 'ibm-not-wf-P50-ibm50n03','ibm-not-wf-P50-ibm50n04',
+ 'ibm-not-wf-P50-ibm50n05','ibm-not-wf-P50-ibm50n06',
+ 'ibm-not-wf-P50-ibm50n07']},
+ {testcases68, [],
+ ['ibm-not-wf-P51-ibm51n01','ibm-not-wf-P51-ibm51n02',
+ 'ibm-not-wf-P51-ibm51n03','ibm-not-wf-P51-ibm51n04',
+ 'ibm-not-wf-P51-ibm51n05','ibm-not-wf-P51-ibm51n06',
+ 'ibm-not-wf-P51-ibm51n07']},
+ {testcases69, [],
+ ['ibm-not-wf-P52-ibm52n01', 'ibm-not-wf-P52-ibm52n02',
+ 'ibm-not-wf-P52-ibm52n03', 'ibm-not-wf-P52-ibm52n04',
+ 'ibm-not-wf-P52-ibm52n05', 'ibm-not-wf-P52-ibm52n06']},
+ {testcases70, [],
+ ['ibm-not-wf-P53-ibm53n01', 'ibm-not-wf-P53-ibm53n02',
+ 'ibm-not-wf-P53-ibm53n03', 'ibm-not-wf-P53-ibm53n04',
+ 'ibm-not-wf-P53-ibm53n05', 'ibm-not-wf-P53-ibm53n06',
+ 'ibm-not-wf-P53-ibm53n07', 'ibm-not-wf-P53-ibm53n08']},
+ {testcases71, [],
+ ['ibm-not-wf-P54-ibm54n01', 'ibm-not-wf-P54-ibm54n02']},
+ {testcases72, [],
+ ['ibm-not-wf-P55-ibm55n01', 'ibm-not-wf-P55-ibm55n02',
+ 'ibm-not-wf-P55-ibm55n03']},
+ {testcases73, [],
+ ['ibm-not-wf-P56-ibm56n01', 'ibm-not-wf-P56-ibm56n02',
+ 'ibm-not-wf-P56-ibm56n03', 'ibm-not-wf-P56-ibm56n04',
+ 'ibm-not-wf-P56-ibm56n05', 'ibm-not-wf-P56-ibm56n06',
+ 'ibm-not-wf-P56-ibm56n07']},
+ {testcases74, [], ['ibm-not-wf-P57-ibm57n01']},
+ {testcases75, [],
+ ['ibm-not-wf-P58-ibm58n01', 'ibm-not-wf-P58-ibm58n02',
+ 'ibm-not-wf-P58-ibm58n03', 'ibm-not-wf-P58-ibm58n04',
+ 'ibm-not-wf-P58-ibm58n05', 'ibm-not-wf-P58-ibm58n06',
+ 'ibm-not-wf-P58-ibm58n07', 'ibm-not-wf-P58-ibm58n08']},
+ {testcases76, [],
+ ['ibm-not-wf-P59-ibm59n01', 'ibm-not-wf-P59-ibm59n02',
+ 'ibm-not-wf-P59-ibm59n03', 'ibm-not-wf-P59-ibm59n04',
+ 'ibm-not-wf-P59-ibm59n05', 'ibm-not-wf-P59-ibm59n06']},
+ {testcases77, [],
+ ['ibm-not-wf-P60-ibm60n01', 'ibm-not-wf-P60-ibm60n02',
+ 'ibm-not-wf-P60-ibm60n03', 'ibm-not-wf-P60-ibm60n04',
+ 'ibm-not-wf-P60-ibm60n05', 'ibm-not-wf-P60-ibm60n06',
+ 'ibm-not-wf-P60-ibm60n07', 'ibm-not-wf-P60-ibm60n08']},
+ {testcases78, [], ['ibm-not-wf-P61-ibm61n01']},
+ {testcases79, [],
+ ['ibm-not-wf-P62-ibm62n01', 'ibm-not-wf-P62-ibm62n02',
+ 'ibm-not-wf-P62-ibm62n03', 'ibm-not-wf-P62-ibm62n04',
+ 'ibm-not-wf-P62-ibm62n05', 'ibm-not-wf-P62-ibm62n06',
+ 'ibm-not-wf-P62-ibm62n07', 'ibm-not-wf-P62-ibm62n08']},
+ {testcases80, [],
+ ['ibm-not-wf-P63-ibm63n01', 'ibm-not-wf-P63-ibm63n02',
+ 'ibm-not-wf-P63-ibm63n03', 'ibm-not-wf-P63-ibm63n04',
+ 'ibm-not-wf-P63-ibm63n05', 'ibm-not-wf-P63-ibm63n06',
+ 'ibm-not-wf-P63-ibm63n07']},
+ {testcases81, [],
+ ['ibm-not-wf-P64-ibm64n01', 'ibm-not-wf-P64-ibm64n02',
+ 'ibm-not-wf-P64-ibm64n03']},
+ {testcases82, [],
+ ['ibm-not-wf-P65-ibm65n01', 'ibm-not-wf-P65-ibm65n02']},
+ {testcases83, [],
+ ['ibm-not-wf-P66-ibm66n01', 'ibm-not-wf-P66-ibm66n02',
+ 'ibm-not-wf-P66-ibm66n03', 'ibm-not-wf-P66-ibm66n04',
+ 'ibm-not-wf-P66-ibm66n05', 'ibm-not-wf-P66-ibm66n06',
+ 'ibm-not-wf-P66-ibm66n07', 'ibm-not-wf-P66-ibm66n08',
+ 'ibm-not-wf-P66-ibm66n09', 'ibm-not-wf-P66-ibm66n10',
+ 'ibm-not-wf-P66-ibm66n11', 'ibm-not-wf-P66-ibm66n12',
+ 'ibm-not-wf-P66-ibm66n13', 'ibm-not-wf-P66-ibm66n14',
+ 'ibm-not-wf-P66-ibm66n15']},
+ {testcases84, [],
+ ['ibm-not-wf-P68-ibm68n01', 'ibm-not-wf-P68-ibm68n02',
+ 'ibm-not-wf-P68-ibm68n03', 'ibm-not-wf-P68-ibm68n04',
+ 'ibm-not-wf-P68-ibm68n05', 'ibm-not-wf-P68-ibm68n06',
+ 'ibm-not-wf-P68-ibm68n07', 'ibm-not-wf-P68-ibm68n08',
+ 'ibm-not-wf-P68-ibm68n09', 'ibm-not-wf-P68-ibm68n10']},
+ {testcases85, [],
+ ['ibm-not-wf-P69-ibm69n01', 'ibm-not-wf-P69-ibm69n02',
+ 'ibm-not-wf-P69-ibm69n03', 'ibm-not-wf-P69-ibm69n04',
+ 'ibm-not-wf-P69-ibm69n05', 'ibm-not-wf-P69-ibm69n06',
+ 'ibm-not-wf-P69-ibm69n07']},
+ {testcases86, [],
+ ['ibm-not-wf-P71-ibm70n01', 'ibm-not-wf-P71-ibm71n01',
+ 'ibm-not-wf-P71-ibm71n02', 'ibm-not-wf-P71-ibm71n03',
+ 'ibm-not-wf-P71-ibm71n04', 'ibm-not-wf-P71-ibm71n05',
+ 'ibm-not-wf-P71-ibm71n06', 'ibm-not-wf-P71-ibm71n07',
+ 'ibm-not-wf-P71-ibm71n08']},
+ {testcases87, [],
+ ['ibm-not-wf-P72-ibm72n01', 'ibm-not-wf-P72-ibm72n02',
+ 'ibm-not-wf-P72-ibm72n03', 'ibm-not-wf-P72-ibm72n04',
+ 'ibm-not-wf-P72-ibm72n05', 'ibm-not-wf-P72-ibm72n06',
+ 'ibm-not-wf-P72-ibm72n07', 'ibm-not-wf-P72-ibm72n08',
+ 'ibm-not-wf-P72-ibm72n09']},
+ {testcases88, [],
+ ['ibm-not-wf-P73-ibm73n01', 'ibm-not-wf-P73-ibm73n03']},
+ {testcases89, [], ['ibm-not-wf-P74-ibm74n01']},
+ {testcases90, [],
+ ['ibm-not-wf-P75-ibm75n01', 'ibm-not-wf-P75-ibm75n02',
+ 'ibm-not-wf-P75-ibm75n03', 'ibm-not-wf-P75-ibm75n04',
+ 'ibm-not-wf-P75-ibm75n05', 'ibm-not-wf-P75-ibm75n06',
+ 'ibm-not-wf-P75-ibm75n07', 'ibm-not-wf-P75-ibm75n08',
+ 'ibm-not-wf-P75-ibm75n09', 'ibm-not-wf-P75-ibm75n10',
+ 'ibm-not-wf-P75-ibm75n11', 'ibm-not-wf-P75-ibm75n12',
+ 'ibm-not-wf-P75-ibm75n13']},
+ {testcases91, [],
+ ['ibm-not-wf-P76-ibm76n01', 'ibm-not-wf-P76-ibm76n02',
+ 'ibm-not-wf-P76-ibm76n03', 'ibm-not-wf-P76-ibm76n04',
+ 'ibm-not-wf-P76-ibm76n05', 'ibm-not-wf-P76-ibm76n06',
+ 'ibm-not-wf-P76-ibm76n07']},
+ {testcases92, [],
+ ['ibm-not-wf-P77-ibm77n01', 'ibm-not-wf-P77-ibm77n02',
+ 'ibm-not-wf-P77-ibm77n03', 'ibm-not-wf-P77-ibm77n04']},
+ {testcases93, [],
+ ['ibm-not-wf-P78-ibm78n01', 'ibm-not-wf-P78-ibm78n02']},
+ {testcases94, [],
+ ['ibm-not-wf-P79-ibm79n01', 'ibm-not-wf-P79-ibm79n02']},
+ {testcases95, [],
+ ['ibm-not-wf-P80-ibm80n01', 'ibm-not-wf-P80-ibm80n02',
+ 'ibm-not-wf-P80-ibm80n03', 'ibm-not-wf-P80-ibm80n04',
+ 'ibm-not-wf-P80-ibm80n05', 'ibm-not-wf-P80-ibm80n06']},
+ {testcases96, [],
+ ['ibm-not-wf-P81-ibm81n01', 'ibm-not-wf-P81-ibm81n02',
+ 'ibm-not-wf-P81-ibm81n03', 'ibm-not-wf-P81-ibm81n04',
+ 'ibm-not-wf-P81-ibm81n05', 'ibm-not-wf-P81-ibm81n06',
+ 'ibm-not-wf-P81-ibm81n07', 'ibm-not-wf-P81-ibm81n08',
+ 'ibm-not-wf-P81-ibm81n09']},
+ {testcases97, [],
+ ['ibm-not-wf-P82-ibm82n01', 'ibm-not-wf-P82-ibm82n02',
+ 'ibm-not-wf-P82-ibm82n03', 'ibm-not-wf-P82-ibm82n04',
+ 'ibm-not-wf-P82-ibm82n05', 'ibm-not-wf-P82-ibm82n06',
+ 'ibm-not-wf-P82-ibm82n07', 'ibm-not-wf-P82-ibm82n08']},
+ {testcases98, [],
+ ['ibm-not-wf-P83-ibm83n01', 'ibm-not-wf-P83-ibm83n02',
+ 'ibm-not-wf-P83-ibm83n03', 'ibm-not-wf-P83-ibm83n04',
+ 'ibm-not-wf-P83-ibm83n05', 'ibm-not-wf-P83-ibm83n06']},
+ {testcases99, [],
+ ['ibm-not-wf-P85-ibm85n01', 'ibm-not-wf-P85-ibm85n02',
+ 'ibm-not-wf-P85-ibm85n03', 'ibm-not-wf-P85-ibm85n04',
+ 'ibm-not-wf-P85-ibm85n05', 'ibm-not-wf-P85-ibm85n06',
+ 'ibm-not-wf-P85-ibm85n07', 'ibm-not-wf-P85-ibm85n08',
+ 'ibm-not-wf-P85-ibm85n09', 'ibm-not-wf-P85-ibm85n10',
+ 'ibm-not-wf-P85-ibm85n100', 'ibm-not-wf-P85-ibm85n101',
+ 'ibm-not-wf-P85-ibm85n102', 'ibm-not-wf-P85-ibm85n103',
+ 'ibm-not-wf-P85-ibm85n104', 'ibm-not-wf-P85-ibm85n105',
+ 'ibm-not-wf-P85-ibm85n106', 'ibm-not-wf-P85-ibm85n107',
+ 'ibm-not-wf-P85-ibm85n108', 'ibm-not-wf-P85-ibm85n109',
+ 'ibm-not-wf-P85-ibm85n11', 'ibm-not-wf-P85-ibm85n110',
+ 'ibm-not-wf-P85-ibm85n111', 'ibm-not-wf-P85-ibm85n112',
+ 'ibm-not-wf-P85-ibm85n113', 'ibm-not-wf-P85-ibm85n114',
+ 'ibm-not-wf-P85-ibm85n115', 'ibm-not-wf-P85-ibm85n116',
+ 'ibm-not-wf-P85-ibm85n117', 'ibm-not-wf-P85-ibm85n118',
+ 'ibm-not-wf-P85-ibm85n119', 'ibm-not-wf-P85-ibm85n12',
+ 'ibm-not-wf-P85-ibm85n120', 'ibm-not-wf-P85-ibm85n121',
+ 'ibm-not-wf-P85-ibm85n122', 'ibm-not-wf-P85-ibm85n123',
+ 'ibm-not-wf-P85-ibm85n124', 'ibm-not-wf-P85-ibm85n125',
+ 'ibm-not-wf-P85-ibm85n126', 'ibm-not-wf-P85-ibm85n127',
+ 'ibm-not-wf-P85-ibm85n128', 'ibm-not-wf-P85-ibm85n129',
+ 'ibm-not-wf-P85-ibm85n13', 'ibm-not-wf-P85-ibm85n130',
+ 'ibm-not-wf-P85-ibm85n131', 'ibm-not-wf-P85-ibm85n132',
+ 'ibm-not-wf-P85-ibm85n133', 'ibm-not-wf-P85-ibm85n134',
+ 'ibm-not-wf-P85-ibm85n135', 'ibm-not-wf-P85-ibm85n136',
+ 'ibm-not-wf-P85-ibm85n137', 'ibm-not-wf-P85-ibm85n138',
+ 'ibm-not-wf-P85-ibm85n139', 'ibm-not-wf-P85-ibm85n14',
+ 'ibm-not-wf-P85-ibm85n140', 'ibm-not-wf-P85-ibm85n141',
+ 'ibm-not-wf-P85-ibm85n142', 'ibm-not-wf-P85-ibm85n143',
+ 'ibm-not-wf-P85-ibm85n144', 'ibm-not-wf-P85-ibm85n145',
+ 'ibm-not-wf-P85-ibm85n146', 'ibm-not-wf-P85-ibm85n147',
+ 'ibm-not-wf-P85-ibm85n148', 'ibm-not-wf-P85-ibm85n149',
+ 'ibm-not-wf-P85-ibm85n15', 'ibm-not-wf-P85-ibm85n150',
+ 'ibm-not-wf-P85-ibm85n151', 'ibm-not-wf-P85-ibm85n152',
+ 'ibm-not-wf-P85-ibm85n153', 'ibm-not-wf-P85-ibm85n154',
+ 'ibm-not-wf-P85-ibm85n155', 'ibm-not-wf-P85-ibm85n156',
+ 'ibm-not-wf-P85-ibm85n157', 'ibm-not-wf-P85-ibm85n158',
+ 'ibm-not-wf-P85-ibm85n159', 'ibm-not-wf-P85-ibm85n16',
+ 'ibm-not-wf-P85-ibm85n160', 'ibm-not-wf-P85-ibm85n161',
+ 'ibm-not-wf-P85-ibm85n162', 'ibm-not-wf-P85-ibm85n163',
+ 'ibm-not-wf-P85-ibm85n164', 'ibm-not-wf-P85-ibm85n165',
+ 'ibm-not-wf-P85-ibm85n166', 'ibm-not-wf-P85-ibm85n167',
+ 'ibm-not-wf-P85-ibm85n168', 'ibm-not-wf-P85-ibm85n169',
+ 'ibm-not-wf-P85-ibm85n17', 'ibm-not-wf-P85-ibm85n170',
+ 'ibm-not-wf-P85-ibm85n171', 'ibm-not-wf-P85-ibm85n172',
+ 'ibm-not-wf-P85-ibm85n173', 'ibm-not-wf-P85-ibm85n174',
+ 'ibm-not-wf-P85-ibm85n175', 'ibm-not-wf-P85-ibm85n176',
+ 'ibm-not-wf-P85-ibm85n177', 'ibm-not-wf-P85-ibm85n178',
+ 'ibm-not-wf-P85-ibm85n179', 'ibm-not-wf-P85-ibm85n18',
+ 'ibm-not-wf-P85-ibm85n180', 'ibm-not-wf-P85-ibm85n181',
+ 'ibm-not-wf-P85-ibm85n182', 'ibm-not-wf-P85-ibm85n183',
+ 'ibm-not-wf-P85-ibm85n184', 'ibm-not-wf-P85-ibm85n185',
+ 'ibm-not-wf-P85-ibm85n186', 'ibm-not-wf-P85-ibm85n187',
+ 'ibm-not-wf-P85-ibm85n188', 'ibm-not-wf-P85-ibm85n189',
+ 'ibm-not-wf-P85-ibm85n19', 'ibm-not-wf-P85-ibm85n190',
+ 'ibm-not-wf-P85-ibm85n191', 'ibm-not-wf-P85-ibm85n192',
+ 'ibm-not-wf-P85-ibm85n193', 'ibm-not-wf-P85-ibm85n194',
+ 'ibm-not-wf-P85-ibm85n195', 'ibm-not-wf-P85-ibm85n196',
+ 'ibm-not-wf-P85-ibm85n197', 'ibm-not-wf-P85-ibm85n198',
+ 'ibm-not-wf-P85-ibm85n20', 'ibm-not-wf-P85-ibm85n21',
+ 'ibm-not-wf-P85-ibm85n22', 'ibm-not-wf-P85-ibm85n23',
+ 'ibm-not-wf-P85-ibm85n24', 'ibm-not-wf-P85-ibm85n25',
+ 'ibm-not-wf-P85-ibm85n26', 'ibm-not-wf-P85-ibm85n27',
+ 'ibm-not-wf-P85-ibm85n28', 'ibm-not-wf-P85-ibm85n29',
+ 'ibm-not-wf-P85-ibm85n30', 'ibm-not-wf-P85-ibm85n31',
+ 'ibm-not-wf-P85-ibm85n32', 'ibm-not-wf-P85-ibm85n33',
+ 'ibm-not-wf-P85-ibm85n34', 'ibm-not-wf-P85-ibm85n35',
+ 'ibm-not-wf-P85-ibm85n36', 'ibm-not-wf-P85-ibm85n37',
+ 'ibm-not-wf-P85-ibm85n38', 'ibm-not-wf-P85-ibm85n39',
+ 'ibm-not-wf-P85-ibm85n40', 'ibm-not-wf-P85-ibm85n41',
+ 'ibm-not-wf-P85-ibm85n42', 'ibm-not-wf-P85-ibm85n43',
+ 'ibm-not-wf-P85-ibm85n44', 'ibm-not-wf-P85-ibm85n45',
+ 'ibm-not-wf-P85-ibm85n46', 'ibm-not-wf-P85-ibm85n47',
+ 'ibm-not-wf-P85-ibm85n48', 'ibm-not-wf-P85-ibm85n49',
+ 'ibm-not-wf-P85-ibm85n50', 'ibm-not-wf-P85-ibm85n51',
+ 'ibm-not-wf-P85-ibm85n52', 'ibm-not-wf-P85-ibm85n53',
+ 'ibm-not-wf-P85-ibm85n54', 'ibm-not-wf-P85-ibm85n55',
+ 'ibm-not-wf-P85-ibm85n56', 'ibm-not-wf-P85-ibm85n57',
+ 'ibm-not-wf-P85-ibm85n58', 'ibm-not-wf-P85-ibm85n59',
+ 'ibm-not-wf-P85-ibm85n60', 'ibm-not-wf-P85-ibm85n61',
+ 'ibm-not-wf-P85-ibm85n62', 'ibm-not-wf-P85-ibm85n63',
+ 'ibm-not-wf-P85-ibm85n64', 'ibm-not-wf-P85-ibm85n65',
+ 'ibm-not-wf-P85-ibm85n66', 'ibm-not-wf-P85-ibm85n67',
+ 'ibm-not-wf-P85-ibm85n68', 'ibm-not-wf-P85-ibm85n69',
+ 'ibm-not-wf-P85-ibm85n70', 'ibm-not-wf-P85-ibm85n71',
+ 'ibm-not-wf-P85-ibm85n72', 'ibm-not-wf-P85-ibm85n73',
+ 'ibm-not-wf-P85-ibm85n74', 'ibm-not-wf-P85-ibm85n75',
+ 'ibm-not-wf-P85-ibm85n76', 'ibm-not-wf-P85-ibm85n77',
+ 'ibm-not-wf-P85-ibm85n78', 'ibm-not-wf-P85-ibm85n79',
+ 'ibm-not-wf-P85-ibm85n80', 'ibm-not-wf-P85-ibm85n81',
+ 'ibm-not-wf-P85-ibm85n82', 'ibm-not-wf-P85-ibm85n83',
+ 'ibm-not-wf-P85-ibm85n84', 'ibm-not-wf-P85-ibm85n85',
+ 'ibm-not-wf-P85-ibm85n86', 'ibm-not-wf-P85-ibm85n87',
+ 'ibm-not-wf-P85-ibm85n88', 'ibm-not-wf-P85-ibm85n89',
+ 'ibm-not-wf-P85-ibm85n90', 'ibm-not-wf-P85-ibm85n91',
+ 'ibm-not-wf-P85-ibm85n92', 'ibm-not-wf-P85-ibm85n93',
+ 'ibm-not-wf-P85-ibm85n94', 'ibm-not-wf-P85-ibm85n95',
+ 'ibm-not-wf-P85-ibm85n96', 'ibm-not-wf-P85-ibm85n97',
+ 'ibm-not-wf-P85-ibm85n98', 'ibm-not-wf-P85-ibm85n99']},
+ {testcases100, [],
+ ['ibm-not-wf-P86-ibm86n01', 'ibm-not-wf-P86-ibm86n02',
+ 'ibm-not-wf-P86-ibm86n03', 'ibm-not-wf-P86-ibm86n04']},
+ {testcases101, [],
+ ['ibm-not-wf-P87-ibm87n01', 'ibm-not-wf-P87-ibm87n02',
+ 'ibm-not-wf-P87-ibm87n03', 'ibm-not-wf-P87-ibm87n04',
+ 'ibm-not-wf-P87-ibm87n05', 'ibm-not-wf-P87-ibm87n06',
+ 'ibm-not-wf-P87-ibm87n07', 'ibm-not-wf-P87-ibm87n08',
+ 'ibm-not-wf-P87-ibm87n09', 'ibm-not-wf-P87-ibm87n10',
+ 'ibm-not-wf-P87-ibm87n11', 'ibm-not-wf-P87-ibm87n12',
+ 'ibm-not-wf-P87-ibm87n13', 'ibm-not-wf-P87-ibm87n14',
+ 'ibm-not-wf-P87-ibm87n15', 'ibm-not-wf-P87-ibm87n16',
+ 'ibm-not-wf-P87-ibm87n17', 'ibm-not-wf-P87-ibm87n18',
+ 'ibm-not-wf-P87-ibm87n19', 'ibm-not-wf-P87-ibm87n20',
+ 'ibm-not-wf-P87-ibm87n21', 'ibm-not-wf-P87-ibm87n22',
+ 'ibm-not-wf-P87-ibm87n23', 'ibm-not-wf-P87-ibm87n24',
+ 'ibm-not-wf-P87-ibm87n25', 'ibm-not-wf-P87-ibm87n26',
+ 'ibm-not-wf-P87-ibm87n27', 'ibm-not-wf-P87-ibm87n28',
+ 'ibm-not-wf-P87-ibm87n29', 'ibm-not-wf-P87-ibm87n30',
+ 'ibm-not-wf-P87-ibm87n31', 'ibm-not-wf-P87-ibm87n32',
+ 'ibm-not-wf-P87-ibm87n33', 'ibm-not-wf-P87-ibm87n34',
+ 'ibm-not-wf-P87-ibm87n35', 'ibm-not-wf-P87-ibm87n36',
+ 'ibm-not-wf-P87-ibm87n37', 'ibm-not-wf-P87-ibm87n38',
+ 'ibm-not-wf-P87-ibm87n39', 'ibm-not-wf-P87-ibm87n40',
+ 'ibm-not-wf-P87-ibm87n41', 'ibm-not-wf-P87-ibm87n42',
+ 'ibm-not-wf-P87-ibm87n43', 'ibm-not-wf-P87-ibm87n44',
+ 'ibm-not-wf-P87-ibm87n45', 'ibm-not-wf-P87-ibm87n46',
+ 'ibm-not-wf-P87-ibm87n47', 'ibm-not-wf-P87-ibm87n48',
+ 'ibm-not-wf-P87-ibm87n49', 'ibm-not-wf-P87-ibm87n50',
+ 'ibm-not-wf-P87-ibm87n51', 'ibm-not-wf-P87-ibm87n52',
+ 'ibm-not-wf-P87-ibm87n53', 'ibm-not-wf-P87-ibm87n54',
+ 'ibm-not-wf-P87-ibm87n55', 'ibm-not-wf-P87-ibm87n56',
+ 'ibm-not-wf-P87-ibm87n57', 'ibm-not-wf-P87-ibm87n58',
+ 'ibm-not-wf-P87-ibm87n59', 'ibm-not-wf-P87-ibm87n60',
+ 'ibm-not-wf-P87-ibm87n61', 'ibm-not-wf-P87-ibm87n62',
+ 'ibm-not-wf-P87-ibm87n63', 'ibm-not-wf-P87-ibm87n64',
+ 'ibm-not-wf-P87-ibm87n66', 'ibm-not-wf-P87-ibm87n67',
+ 'ibm-not-wf-P87-ibm87n68', 'ibm-not-wf-P87-ibm87n69',
+ 'ibm-not-wf-P87-ibm87n70', 'ibm-not-wf-P87-ibm87n71',
+ 'ibm-not-wf-P87-ibm87n72', 'ibm-not-wf-P87-ibm87n73',
+ 'ibm-not-wf-P87-ibm87n74', 'ibm-not-wf-P87-ibm87n75',
+ 'ibm-not-wf-P87-ibm87n76', 'ibm-not-wf-P87-ibm87n77',
+ 'ibm-not-wf-P87-ibm87n78', 'ibm-not-wf-P87-ibm87n79',
+ 'ibm-not-wf-P87-ibm87n80', 'ibm-not-wf-P87-ibm87n81',
+ 'ibm-not-wf-P87-ibm87n82', 'ibm-not-wf-P87-ibm87n83',
+ 'ibm-not-wf-P87-ibm87n84', 'ibm-not-wf-P87-ibm87n85']},
+ {testcases102, [],
+ ['ibm-not-wf-P88-ibm88n01', 'ibm-not-wf-P88-ibm88n02',
+ 'ibm-not-wf-P88-ibm88n03', 'ibm-not-wf-P88-ibm88n04',
+ 'ibm-not-wf-P88-ibm88n05', 'ibm-not-wf-P88-ibm88n06',
+ 'ibm-not-wf-P88-ibm88n08', 'ibm-not-wf-P88-ibm88n09',
+ 'ibm-not-wf-P88-ibm88n10', 'ibm-not-wf-P88-ibm88n11',
+ 'ibm-not-wf-P88-ibm88n12', 'ibm-not-wf-P88-ibm88n13',
+ 'ibm-not-wf-P88-ibm88n14', 'ibm-not-wf-P88-ibm88n15',
+ 'ibm-not-wf-P88-ibm88n16']},
+ {testcases103, [],
+ ['ibm-not-wf-P89-ibm89n01', 'ibm-not-wf-P89-ibm89n02',
+ 'ibm-not-wf-P89-ibm89n03', 'ibm-not-wf-P89-ibm89n04',
+ 'ibm-not-wf-P89-ibm89n05', 'ibm-not-wf-P89-ibm89n06',
+ 'ibm-not-wf-P89-ibm89n07', 'ibm-not-wf-P89-ibm89n08',
+ 'ibm-not-wf-P89-ibm89n09', 'ibm-not-wf-P89-ibm89n10',
+ 'ibm-not-wf-P89-ibm89n11', 'ibm-not-wf-P89-ibm89n12']},
+ {testcases25, [],
+ [{group, testcases26}, {group, testcases27},
+ {group, testcases28}, {group, testcases29},
+ {group, testcases30}, {group, testcases31},
+ {group, testcases32}, {group, testcases33},
+ {group, testcases34}, {group, testcases35},
+ {group, testcases36}, {group, testcases37},
+ {group, testcases38}, {group, testcases39},
+ {group, testcases40}, {group, testcases41},
+ {group, testcases42}, {group, testcases43},
+ {group, testcases44}, {group, testcases45},
+ {group, testcases46}, {group, testcases47},
+ {group, testcases48}, {group, testcases49},
+ {group, testcases50}, {group, testcases51},
+ {group, testcases52}, {group, testcases53},
+ {group, testcases54}, {group, testcases55},
+ {group, testcases56}, {group, testcases57},
+ {group, testcases58}, {group, testcases59},
+ {group, testcases60}, {group, testcases61},
+ {group, testcases62}, {group, testcases63},
+ {group, testcases64}, {group, testcases65},
+ {group, testcases66},
+% {group, testcases67}, {group, testcases68},
+ {group, testcases69}, {group, testcases70},
+ {group, testcases71}, {group, testcases72},
+ {group, testcases73}, {group, testcases74},
+ {group, testcases75}, {group, testcases76},
+ {group, testcases77}, {group, testcases78},
+ {group, testcases79}, {group, testcases80},
+ {group, testcases81}, {group, testcases82},
+ {group, testcases83}, {group, testcases84},
+ {group, testcases85}, {group, testcases86},
+ {group, testcases87}, {group, testcases88},
+ {group, testcases89}, {group, testcases90},
+ {group, testcases91}, {group, testcases92},
+ {group, testcases93}, {group, testcases94},
+ {group, testcases95}, {group, testcases96},
+ {group, testcases97}, {group, testcases98},
+ {group, testcases99}, {group, testcases100},
+ {group, testcases101}, {group, testcases102},
+ {group, testcases103}]},
+ {testcases105, [], ['ibm-valid-P01-ibm01v01']},
+ {testcases106, [], ['ibm-valid-P02-ibm02v01']},
+ {testcases107, [], ['ibm-valid-P03-ibm03v01']},
+ {testcases108, [],
+ ['ibm-valid-P09-ibm09v01', 'ibm-valid-P09-ibm09v02',
+ 'ibm-valid-P09-ibm09v03', 'ibm-valid-P09-ibm09v04',
+ 'ibm-valid-P09-ibm09v05']},
+ {testcases109, [],
+ ['ibm-valid-P10-ibm10v01', 'ibm-valid-P10-ibm10v02',
+ 'ibm-valid-P10-ibm10v03', 'ibm-valid-P10-ibm10v04',
+ 'ibm-valid-P10-ibm10v05', 'ibm-valid-P10-ibm10v06',
+ 'ibm-valid-P10-ibm10v07', 'ibm-valid-P10-ibm10v08']},
+ {testcases110, [],
+ ['ibm-valid-P11-ibm11v01', 'ibm-valid-P11-ibm11v02',
+ 'ibm-valid-P11-ibm11v03', 'ibm-valid-P11-ibm11v04']},
+ {testcases111, [],
+ ['ibm-valid-P12-ibm12v01','ibm-valid-P12-ibm12v02',
+ 'ibm-valid-P12-ibm12v03','ibm-valid-P12-ibm12v04']},
+ {testcases112, [], ['ibm-valid-P13-ibm13v01']},
+ {testcases113, [],
+ ['ibm-valid-P14-ibm14v01', 'ibm-valid-P14-ibm14v02',
+ 'ibm-valid-P14-ibm14v03']},
+ {testcases114, [],
+ ['ibm-valid-P15-ibm15v01', 'ibm-valid-P15-ibm15v02',
+ 'ibm-valid-P15-ibm15v03', 'ibm-valid-P15-ibm15v04']},
+ {testcases115, [],
+ ['ibm-valid-P16-ibm16v01', 'ibm-valid-P16-ibm16v02',
+ 'ibm-valid-P16-ibm16v03']},
+ {testcases116, [], ['ibm-valid-P17-ibm17v01']},
+ {testcases117, [], ['ibm-valid-P18-ibm18v01']},
+ {testcases118, [], ['ibm-valid-P19-ibm19v01']},
+ {testcases119, [],
+ ['ibm-valid-P20-ibm20v01', 'ibm-valid-P20-ibm20v02']},
+ {testcases120, [], ['ibm-valid-P21-ibm21v01']},
+ {testcases121, [],
+ ['ibm-valid-P22-ibm22v01', 'ibm-valid-P22-ibm22v02',
+ 'ibm-valid-P22-ibm22v03', 'ibm-valid-P22-ibm22v04',
+ 'ibm-valid-P22-ibm22v05', 'ibm-valid-P22-ibm22v06',
+ 'ibm-valid-P22-ibm22v07']},
+ {testcases122, [],
+ ['ibm-valid-P23-ibm23v01', 'ibm-valid-P23-ibm23v02',
+ 'ibm-valid-P23-ibm23v03', 'ibm-valid-P23-ibm23v04',
+ 'ibm-valid-P23-ibm23v05', 'ibm-valid-P23-ibm23v06']},
+ {testcases123, [],
+ ['ibm-valid-P24-ibm24v01', 'ibm-valid-P24-ibm24v02']},
+ {testcases124, [],
+ ['ibm-valid-P25-ibm25v01', 'ibm-valid-P25-ibm25v02',
+ 'ibm-valid-P25-ibm25v03', 'ibm-valid-P25-ibm25v04']},
+ {testcases125, [], ['ibm-valid-P26-ibm26v01']},
+ {testcases126, [],
+ ['ibm-valid-P27-ibm27v01', 'ibm-valid-P27-ibm27v02',
+ 'ibm-valid-P27-ibm27v03']},
+ {testcases127, [],
+ ['ibm-valid-P28-ibm28v01', 'ibm-valid-P28-ibm28v02']},
+ {testcases128, [],
+ ['ibm-valid-P29-ibm29v01', 'ibm-valid-P29-ibm29v02']},
+ {testcases129, [],
+ ['ibm-valid-P30-ibm30v01', 'ibm-valid-P30-ibm30v02']},
+ {testcases130, [],
+ ['ibm-valid-P31-ibm31v01']},
+ {testcases131, [],
+ ['ibm-valid-P32-ibm32v01', 'ibm-valid-P32-ibm32v02',
+ 'ibm-valid-P32-ibm32v03', 'ibm-valid-P32-ibm32v04']},
+ {testcases132, [], ['ibm-valid-P33-ibm33v01']},
+ {testcases133, [], ['ibm-valid-P34-ibm34v01']},
+ {testcases134, [], ['ibm-valid-P35-ibm35v01']},
+ {testcases135, [], ['ibm-valid-P36-ibm36v01']},
+ {testcases136, [], ['ibm-valid-P37-ibm37v01']},
+ {testcases137, [], ['ibm-valid-P38-ibm38v01']},
+ {testcases138, [], ['ibm-valid-P39-ibm39v01']},
+ {testcases139, [], ['ibm-valid-P40-ibm40v01']},
+ {testcases140, [], ['ibm-valid-P41-ibm41v01']},
+ {testcases141, [], ['ibm-valid-P42-ibm42v01']},
+ {testcases142, [], ['ibm-valid-P43-ibm43v01']},
+ {testcases143, [], ['ibm-valid-P44-ibm44v01']},
+ {testcases144, [], ['ibm-valid-P45-ibm45v01']},
+ {testcases145, [], ['ibm-valid-P47-ibm47v01']},
+ {testcases146, [], ['ibm-valid-P49-ibm49v01']},
+ {testcases147, [], ['ibm-valid-P50-ibm50v01']},
+ {testcases148, [],
+ ['ibm-valid-P51-ibm51v01', 'ibm-valid-P51-ibm51v02']},
+ {testcases149, [], ['ibm-valid-P52-ibm52v01']},
+ {testcases150, [],
+ ['ibm-valid-P54-ibm54v01', 'ibm-valid-P54-ibm54v02',
+ 'ibm-valid-P54-ibm54v03']},
+ {testcases151, [], ['ibm-valid-P55-ibm55v01']},
+ {testcases152, [],
+ ['ibm-valid-P56-ibm56v01', 'ibm-valid-P56-ibm56v02',
+ 'ibm-valid-P56-ibm56v03', 'ibm-valid-P56-ibm56v04',
+ 'ibm-valid-P56-ibm56v05', 'ibm-valid-P56-ibm56v06',
+ 'ibm-valid-P56-ibm56v07', 'ibm-valid-P56-ibm56v08',
+ 'ibm-valid-P56-ibm56v09', 'ibm-valid-P56-ibm56v10']},
+ {testcases153, [], ['ibm-valid-P57-ibm57v01']},
+ {testcases154, [],
+ ['ibm-valid-P58-ibm58v01', 'ibm-valid-P58-ibm58v02']},
+ {testcases155, [],
+ ['ibm-valid-P59-ibm59v01', 'ibm-valid-P59-ibm59v02']},
+ {testcases156, [],
+ ['ibm-valid-P60-ibm60v01', 'ibm-valid-P60-ibm60v02',
+ 'ibm-valid-P60-ibm60v03', 'ibm-valid-P60-ibm60v04']},
+ {testcases157, [],
+ ['ibm-valid-P61-ibm61v01','ibm-valid-P61-ibm61v02']},
+ {testcases158, [],
+ ['ibm-valid-P62-ibm62v01','ibm-valid-P62-ibm62v02',
+ 'ibm-valid-P62-ibm62v03','ibm-valid-P62-ibm62v04',
+ 'ibm-valid-P62-ibm62v05']},
+ {testcases159, [],
+ ['ibm-valid-P63-ibm63v01','ibm-valid-P63-ibm63v02',
+ 'ibm-valid-P63-ibm63v03','ibm-valid-P63-ibm63v04',
+ 'ibm-valid-P63-ibm63v05']},
+ {testcases160, [],
+ ['ibm-valid-P64-ibm64v01','ibm-valid-P64-ibm64v02',
+ 'ibm-valid-P64-ibm64v03']},
+ {testcases161, [], ['ibm-valid-P65-ibm65v01','ibm-valid-P65-ibm65v02']},
+ {testcases162, [], ['ibm-valid-P66-ibm66v01']},
+ {testcases163, [], ['ibm-valid-P67-ibm67v01']},
+ {testcases164, [],
+ ['ibm-valid-P68-ibm68v01', 'ibm-valid-P68-ibm68v02']},
+ {testcases165, [],
+ ['ibm-valid-P69-ibm69v01', 'ibm-valid-P69-ibm69v02']},
+ {testcases166, [], ['ibm-valid-P70-ibm70v01']},
+ {testcases167, [], ['ibm-valid-P78-ibm78v01']},
+ {testcases168, [], ['ibm-valid-P79-ibm79v01']},
+ {testcases169, [], ['ibm-valid-P82-ibm82v01']},
+ {testcases170, [], ['ibm-valid-P85-ibm85v01']},
+ {testcases171, [], ['ibm-valid-P86-ibm86v01']},
+ {testcases172, [], ['ibm-valid-P87-ibm87v01']},
+ {testcases173, [], ['ibm-valid-P88-ibm88v01']},
+ {testcases174, [], ['ibm-valid-P89-ibm89v01']},
+ {testcases104, [],
+ [{group, testcases105}, {group, testcases106},
+ {group, testcases107}, {group, testcases108},
+ {group, testcases109}, {group, testcases110},
+% {group, testcases111}, {group,testcases112},
+ {group, testcases113},
+ {group, testcases114}, {group, testcases115},
+ {group, testcases116}, {group, testcases117},
+ {group, testcases118}, {group, testcases119},
+ {group, testcases120}, {group, testcases121},
+ {group, testcases122}, {group, testcases123},
+ {group, testcases124}, {group, testcases125},
+ {group, testcases126}, {group, testcases127},
+ {group, testcases128}, {group, testcases129},
+% {group, testcases130},
+ {group, testcases131},
+ {group, testcases132}, {group, testcases133},
+ {group, testcases134}, {group, testcases135},
+ {group, testcases136}, {group, testcases137},
+ {group, testcases138}, {group, testcases139},
+ {group, testcases140}, {group, testcases141},
+ {group, testcases142}, {group, testcases143},
+ {group, testcases144}, {group, testcases145},
+ {group, testcases146}, {group, testcases147},
+ {group, testcases148}, {group, testcases149},
+ {group, testcases150}, {group, testcases151},
+ {group, testcases152}, {group, testcases153},
+ {group, testcases154}, {group, testcases155},
+ {group, testcases156}, % {group, testcases157},
+% {group, testcases158}, {group, testcases159},
+% {group, testcases160}, {group, testcases161},
+ {group, testcases162}, {group, testcases163},
+ {group, testcases164}, {group, testcases165},
+ {group, testcases166}, %{group, testcases167},
+ {group, testcases168}, {group, testcases169},
+% {group, testcases170},
+ {group, testcases171},
+ {group, testcases172}, {group, testcases173},
+ {group, testcases174}]},
+ {testcases8, [],
+ [{group, testcases9}, {group, testcases25},
+ {group, testcases104}]}].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
+%%======================================================================
+%% Support Functions
+%%======================================================================
+
+%% Dir is a directory
+rm_f_(Dir) ->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ rm_files(FileList),
+ ?line file:set_cwd(CWD),
+ ? line ok = file:del_dir(Dir).
+
+rm_files([])->
+ ok;
+rm_files([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ rm_f_(F);
+ _ ->
+ ?line ok = file:delete(F)
+ end,
+ rm_files(Fs).
+
+
+change_mode(Files) ->
+ change_mode3(Files).
+change_mode2(Dir)->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ change_mode3(FileList),
+ ?line file:set_cwd(CWD).
+change_mode3([]) ->
+ ok;
+change_mode3([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ chmod(F),
+ change_mode2(F);
+ _ ->
+ chmod(F)
+ end,
+ change_mode3(Fs).
+
+chmod(F) ->
+ case file:read_file_info(F) of
+ {ok,FileInfo} ->
+ Mode= FileInfo#file_info.mode,
+ file:write_file_info(F,FileInfo#file_info{mode=8#00777 bor Mode});
+ _ ->
+ ok
+ end.
+
+%%----------------------------------------------------------------------
+%% check_result
+check_result({fatal_error,_,_,_,_}, "error") ->
+ ok;
+check_result({ok, _, _}, "invalid") ->
+ ok;
+check_result({fatal_error,_,_,_,_}, "not-wf") ->
+ ok;
+check_result({ok, _, _}, "valid") ->
+ ok.
+
diff --git a/lib/xmerl/test/xmerl_sax_std_SUITE_data/ibm.tgz b/lib/xmerl/test/xmerl_sax_std_SUITE_data/ibm.tgz
new file mode 100644
index 0000000000..99e952fe81
--- /dev/null
+++ b/lib/xmerl/test/xmerl_sax_std_SUITE_data/ibm.tgz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_sax_std_SUITE_data/japanese.tgz b/lib/xmerl/test/xmerl_sax_std_SUITE_data/japanese.tgz
new file mode 100644
index 0000000000..d082067a64
--- /dev/null
+++ b/lib/xmerl/test/xmerl_sax_std_SUITE_data/japanese.tgz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_sax_std_SUITE_data/oasis.tgz b/lib/xmerl/test/xmerl_sax_std_SUITE_data/oasis.tgz
new file mode 100644
index 0000000000..d13e89b2f3
--- /dev/null
+++ b/lib/xmerl/test/xmerl_sax_std_SUITE_data/oasis.tgz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_sax_std_SUITE_data/sun.tgz b/lib/xmerl/test/xmerl_sax_std_SUITE_data/sun.tgz
new file mode 100644
index 0000000000..774b6e4117
--- /dev/null
+++ b/lib/xmerl/test/xmerl_sax_std_SUITE_data/sun.tgz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_sax_std_SUITE_data/xmltest.tgz b/lib/xmerl/test/xmerl_sax_std_SUITE_data/xmltest.tgz
new file mode 100644
index 0000000000..f8ae27224b
--- /dev/null
+++ b/lib/xmerl/test/xmerl_sax_std_SUITE_data/xmltest.tgz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_std_SUITE.erl b/lib/xmerl/test/xmerl_std_SUITE.erl
new file mode 100644
index 0000000000..78d2823e86
--- /dev/null
+++ b/lib/xmerl/test/xmerl_std_SUITE.erl
@@ -0,0 +1,11801 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2004-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%% Purpose : Test suite for the ASN.1 application
+
+-module(xmerl_std_SUITE).
+
+-compile(export_all).
+
+%%----------------------------------------------------------------------
+%% Include files
+%%----------------------------------------------------------------------
+-include_lib("test_server/include/test_server.hrl").
+%%-include("xmerl.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+
+-define(ibm_dir,"ibm").
+-define(sun_dir,"sun").
+-define(japanese_dir,"japanese").
+-define(oasis_dir,"oasis").
+-define(xmltest_dir,"xmltest").
+
+%%======================================================================
+%% Tests
+%%======================================================================
+
+%%----------------------------------------------------------------------
+%% Test groups
+%%----------------------------------------------------------------------
+%% totally 1788 test cases
+all() ->
+ ['sun-valid'(suite), 'sun-invalid'(suite),
+ 'sun-not-wf'(suite), 'sun-error'(suite),
+ 'jclark-xmltest'(suite), 'xerox-japanese'(suite),
+ 'nist-oasis'(suite), 'ibm-invalid'(suite),
+ 'ibm-not-wf'(suite), 'ibm-valid'(suite)].
+
+groups() ->
+ [{sun_test_cases, [],
+ 'sun-valid'(suite) ++
+ 'sun-invalid'(suite) ++
+ 'sun-not-wf'(suite) ++ 'sun-error'(suite)},
+ {ibm_test_cases, [],
+ 'ibm-invalid'(suite) ++
+ 'ibm-not-wf'(suite) ++ 'ibm-valid'(suite)},
+ {xmltest_test_cases, [], 'jclark-xmltest'(suite)},
+ {japanese_test_cases, [], 'xerox-japanese'(suite)},
+ {oasis_test_cases, [], 'nist-oasis'(suite)}].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
+
+'sun-valid'(suite) -> %% 28 test cases
+ ['v-pe02','v-pe03','v-pe00','v-lang06','v-lang05','v-lang04',
+ 'v-lang03','v-lang02','v-lang01','v-sgml01',sa05,sa04,sa03,sa02,
+ sa01,required00,optional,notation01,'not-sa04','not-sa03',
+ 'not-sa02','not-sa01',ext02,ext01,element,dtd01,dtd00,pe01].
+'sun-invalid'(suite) -> %% 74 test cases
+ [empty,utf16l,utf16b,attr16,attr15,attr14,attr13,attr12,attr11,
+ attr10,attr09,attr08,attr07,attr06,attr05,attr04,attr03,attr02,
+ attr01,root,'inv-required02','inv-required01','inv-required00',
+ optional25,optional24,optional23,optional22,optional21,optional20,
+ optional14,optional13,optional12,optional11,optional10,optional09,
+ optional08,optional07,optional06,optional05,optional04,optional03,
+ optional02,optional01,'inv-not-sa14','inv-not-sa13','inv-not-sa12',
+ 'inv-not-sa11','inv-not-sa10','inv-not-sa09','inv-not-sa08',
+ 'inv-not-sa07','inv-not-sa06','inv-not-sa05','inv-not-sa04',
+ 'inv-not-sa02','inv-not-sa01',id09,id08,id07,id06,id05,id04,id03,
+ id02,id01,el06,el05,el04,el03,el02,el01,'inv-dtd03','inv-dtd02',
+ 'inv-dtd01'].
+'sun-not-wf'(suite)-> %% 56 test cases
+ [sgml13,sgml12,sgml11,sgml10,sgml09,sgml08,sgml07,sgml06,sgml05,
+ sgml04,sgml03,sgml02,sgml01,pubid05,pubid04,pubid03,pubid02,pubid01,
+ pi,encoding07,encoding06,encoding05,encoding04,encoding03,encoding02,
+ encoding01,element04,element03,element02,element01,element00,dtd07,
+ dtd05,dtd04,dtd03,dtd02,'nwf-dtd01','nwf-dtd00',decl01,content03,
+ content02,content01,cond02,cond01,attlist11,attlist10,attlist09,
+ attlist08,attlist07,attlist06,attlist05,attlist04,attlist03,
+ attlist02,attlist01,'not-wf-sa03'].
+'sun-error'(suite)->
+ %% 1 test case
+ [uri01].
+'jclark-xmltest'(suite) ->
+ %% 364 test cases
+ ['valid-ext-sa-014','valid-ext-sa-013','valid-ext-sa-012',
+ 'valid-ext-sa-011','valid-ext-sa-009','valid-ext-sa-008',
+ 'valid-ext-sa-007','valid-ext-sa-006','valid-ext-sa-005',
+ 'valid-ext-sa-004','valid-ext-sa-003','valid-ext-sa-002',
+ 'valid-ext-sa-001','valid-not-sa-031','valid-not-sa-030',
+ 'valid-not-sa-029','valid-not-sa-028','valid-not-sa-027',
+ 'valid-not-sa-026','valid-not-sa-025','valid-not-sa-024',
+ 'valid-not-sa-023','valid-not-sa-021','valid-not-sa-020',
+ 'valid-not-sa-019','valid-not-sa-018','valid-not-sa-017',
+ 'valid-not-sa-016','valid-not-sa-015','valid-not-sa-014',
+ 'valid-not-sa-013','valid-not-sa-012','valid-not-sa-011',
+ 'valid-not-sa-010','valid-not-sa-009','valid-not-sa-008',
+ 'valid-not-sa-007','valid-not-sa-006','valid-not-sa-005',
+ 'valid-not-sa-004','valid-not-sa-003','valid-not-sa-002',
+ 'valid-not-sa-001','valid-sa-119','valid-sa-118','valid-sa-117',
+ 'valid-sa-116','valid-sa-115','valid-sa-114','valid-sa-113',
+ 'valid-sa-112','valid-sa-111','valid-sa-110','valid-sa-109',
+ 'valid-sa-108','valid-sa-107','valid-sa-106','valid-sa-105',
+ 'valid-sa-104','valid-sa-103','valid-sa-102','valid-sa-101',
+ 'valid-sa-100','valid-sa-099','valid-sa-098','valid-sa-097',
+ 'valid-sa-096','valid-sa-095','valid-sa-094','valid-sa-093',
+ 'valid-sa-092','valid-sa-091','valid-sa-090','valid-sa-089',
+ 'valid-sa-088','valid-sa-087','valid-sa-086','valid-sa-085',
+ 'valid-sa-084','valid-sa-083','valid-sa-082','valid-sa-081',
+ 'valid-sa-080','valid-sa-079','valid-sa-078','valid-sa-077',
+ 'valid-sa-076','valid-sa-075','valid-sa-074','valid-sa-073',
+ 'valid-sa-072','valid-sa-071','valid-sa-070','valid-sa-069',
+ 'valid-sa-068','valid-sa-067','valid-sa-066','valid-sa-065',
+ 'valid-sa-064','valid-sa-063','valid-sa-062','valid-sa-061',
+ 'valid-sa-060','valid-sa-059','valid-sa-058','valid-sa-057',
+ 'valid-sa-056','valid-sa-055','valid-sa-054','valid-sa-053',
+ 'valid-sa-052','valid-sa-051','valid-sa-050','valid-sa-049',
+ 'valid-sa-048','valid-sa-047','valid-sa-046','valid-sa-045',
+ 'valid-sa-044','valid-sa-043','valid-sa-042','valid-sa-041',
+ 'valid-sa-040','valid-sa-039','valid-sa-038','valid-sa-037',
+ 'valid-sa-036','valid-sa-035','valid-sa-034','valid-sa-033',
+ 'valid-sa-032','valid-sa-031','valid-sa-030','valid-sa-029',
+ 'valid-sa-028','valid-sa-027','valid-sa-026','valid-sa-025',
+ 'valid-sa-024','valid-sa-023','valid-sa-022','valid-sa-021',
+ 'valid-sa-020','valid-sa-019','valid-sa-018','valid-sa-017',
+ 'valid-sa-016','valid-sa-015','valid-sa-014','valid-sa-013',
+ 'valid-sa-012','valid-sa-011','valid-sa-010','valid-sa-009',
+ 'valid-sa-008','valid-sa-007','valid-sa-006','valid-sa-005',
+ 'valid-sa-004','valid-sa-003','valid-sa-002','valid-sa-001',
+ 'invalid-not-sa-022','invalid--006','invalid--005','invalid--002',
+ 'not-wf-ext-sa-003','not-wf-ext-sa-002','not-wf-ext-sa-001',
+ 'not-wf-not-sa-009','not-wf-not-sa-008','not-wf-not-sa-007',
+ 'not-wf-not-sa-006','not-wf-not-sa-005','not-wf-not-sa-004',
+ 'not-wf-not-sa-003','not-wf-not-sa-002','not-wf-not-sa-001',
+ 'not-wf-sa-186','not-wf-sa-185','not-wf-sa-184','not-wf-sa-183',
+ 'not-wf-sa-182','not-wf-sa-181','not-wf-sa-180','not-wf-sa-179',
+ 'not-wf-sa-178','not-wf-sa-177','not-wf-sa-176','not-wf-sa-175',
+ 'not-wf-sa-174','not-wf-sa-173','not-wf-sa-172','not-wf-sa-171',
+ 'not-wf-sa-170','not-wf-sa-169','not-wf-sa-168','not-wf-sa-167',
+ 'not-wf-sa-166','not-wf-sa-165','not-wf-sa-164','not-wf-sa-163',
+ 'not-wf-sa-162','not-wf-sa-161','not-wf-sa-160','not-wf-sa-159',
+ 'not-wf-sa-158','not-wf-sa-157','not-wf-sa-156','not-wf-sa-155',
+ 'not-wf-sa-154','not-wf-sa-153','not-wf-sa-152','not-wf-sa-151',
+ 'not-wf-sa-150','not-wf-sa-149','not-wf-sa-148','not-wf-sa-147',
+ 'not-wf-sa-146','not-wf-sa-145','not-wf-sa-144','not-wf-sa-143',
+ 'not-wf-sa-142','not-wf-sa-141','not-wf-sa-140','not-wf-sa-139',
+ 'not-wf-sa-138','not-wf-sa-137','not-wf-sa-136','not-wf-sa-135',
+ 'not-wf-sa-134','not-wf-sa-133','not-wf-sa-132','not-wf-sa-131',
+ 'not-wf-sa-130','not-wf-sa-129','not-wf-sa-128','not-wf-sa-127',
+ 'not-wf-sa-126','not-wf-sa-125','not-wf-sa-124','not-wf-sa-123',
+ 'not-wf-sa-122','not-wf-sa-121','not-wf-sa-120','not-wf-sa-119',
+ 'not-wf-sa-118','not-wf-sa-117','not-wf-sa-116','not-wf-sa-115',
+ 'not-wf-sa-114','not-wf-sa-113','not-wf-sa-112','not-wf-sa-111',
+ 'not-wf-sa-110','not-wf-sa-109','not-wf-sa-108','not-wf-sa-107',
+ 'not-wf-sa-106','not-wf-sa-105','not-wf-sa-104','not-wf-sa-103',
+ 'not-wf-sa-102','not-wf-sa-101','not-wf-sa-100','not-wf-sa-099',
+ 'not-wf-sa-098','not-wf-sa-097','not-wf-sa-096','not-wf-sa-095',
+ 'not-wf-sa-094','not-wf-sa-093','not-wf-sa-092','not-wf-sa-091',
+ 'not-wf-sa-090','not-wf-sa-089','not-wf-sa-088','not-wf-sa-087',
+ 'not-wf-sa-086','not-wf-sa-085','not-wf-sa-084','not-wf-sa-083',
+ 'not-wf-sa-082','not-wf-sa-081','not-wf-sa-080','not-wf-sa-079',
+ 'not-wf-sa-078','not-wf-sa-077','not-wf-sa-076','not-wf-sa-075',
+ 'not-wf-sa-074','not-wf-sa-073','not-wf-sa-072','not-wf-sa-071',
+ 'not-wf-sa-070','not-wf-sa-069','not-wf-sa-068','not-wf-sa-067',
+ 'not-wf-sa-066','not-wf-sa-065','not-wf-sa-064','not-wf-sa-063',
+ 'not-wf-sa-062','not-wf-sa-061','not-wf-sa-060','not-wf-sa-059',
+ 'not-wf-sa-058','not-wf-sa-057','not-wf-sa-056','not-wf-sa-055',
+ 'not-wf-sa-054','not-wf-sa-053','not-wf-sa-052','not-wf-sa-051',
+ 'not-wf-sa-050','not-wf-sa-049','not-wf-sa-048','not-wf-sa-047',
+ 'not-wf-sa-046','not-wf-sa-045','not-wf-sa-044','not-wf-sa-043',
+ 'not-wf-sa-042','not-wf-sa-041','not-wf-sa-040','not-wf-sa-039',
+ 'not-wf-sa-038','not-wf-sa-037','not-wf-sa-036','not-wf-sa-035',
+ 'not-wf-sa-034','not-wf-sa-033','not-wf-sa-032','not-wf-sa-031',
+ 'not-wf-sa-030','not-wf-sa-029','not-wf-sa-028','not-wf-sa-027',
+ 'not-wf-sa-026','not-wf-sa-025','not-wf-sa-024','not-wf-sa-023',
+ 'not-wf-sa-022','not-wf-sa-021','not-wf-sa-020','not-wf-sa-019',
+ 'not-wf-sa-018','not-wf-sa-017','not-wf-sa-016','not-wf-sa-015',
+ 'not-wf-sa-014','not-wf-sa-013','not-wf-sa-012','not-wf-sa-011',
+ 'not-wf-sa-010','not-wf-sa-009','not-wf-sa-008','not-wf-sa-007',
+ 'not-wf-sa-006','not-wf-sa-005','not-wf-sa-004','not-wf-sa-003',
+ 'not-wf-sa-002','not-wf-sa-001'].
+'xerox-japanese'(suite) ->
+ %% 12 test cases
+ ['japanese-weekly-utf-8','japanese-weekly-utf-16',
+ 'japanese-weekly-shift_jis','japanese-weekly-little',
+ 'japanese-weekly-iso-2022-jp','japanese-weekly-euc-jp',
+ 'japanese-pr-xml-utf-8','japanese-pr-xml-utf-16',
+ 'japanese-pr-xml-shift_jis','japanese-pr-xml-little',
+ 'japanese-pr-xml-iso-2022-jp','japanese-pr-xml-euc-jp'].
+'nist-oasis'(suite) ->
+ %% 348 test cases
+ ['o-p11pass1','o-p76fail4','o-p76fail3','o-p76fail2','o-p76fail1',
+ 'o-p75fail6','o-p75fail5','o-p75fail4','o-p75fail3','o-p75fail2',
+ 'o-p75fail1','o-p74fail3','o-p74fail2','o-p74fail1','o-p73fail5',
+ 'o-p73fail4','o-p73fail3','o-p73fail2','o-p73fail1','o-p72fail4',
+ 'o-p72fail3','o-p72fail2','o-p72fail1','o-p71fail4','o-p71fail3',
+ 'o-p71fail2','o-p71fail1','o-p70fail1','o-p69fail3','o-p69fail2',
+ 'o-p69fail1','o-p68fail3','o-p68fail2','o-p68fail1','o-p66fail6',
+ 'o-p66fail5','o-p66fail4','o-p66fail3','o-p66fail2','o-p66fail1',
+ 'o-p64fail2','o-p64fail1','o-p63fail2','o-p63fail1','o-p62fail2',
+ 'o-p62fail1','o-p61fail1','o-p60fail5','o-p60fail4','o-p60fail3',
+ 'o-p60fail2','o-p60fail1','o-p59fail3','o-p59fail2','o-p59fail1',
+ 'o-p58fail8','o-p58fail7','o-p58fail6','o-p58fail5','o-p58fail4',
+ 'o-p58fail3','o-p58fail2','o-p58fail1','o-p57fail1','o-p56fail5',
+ 'o-p56fail4','o-p56fail3','o-p56fail2','o-p56fail1','o-p55fail1',
+ 'o-p54fail1','o-p53fail5','o-p53fail4','o-p53fail3','o-p53fail2',
+ 'o-p53fail1','o-p52fail2','o-p52fail1','o-p51fail7','o-p51fail6',
+ 'o-p51fail5','o-p51fail4','o-p51fail3','o-p51fail2','o-p51fail1',
+ 'o-p50fail1','o-p49fail1','o-p48fail2','o-p48fail1','o-p47fail4',
+ 'o-p47fail3','o-p47fail2','o-p47fail1','o-p46fail6','o-p46fail5',
+ 'o-p46fail4','o-p46fail3','o-p46fail2','o-p46fail1','o-p45fail4',
+ 'o-p45fail3','o-p45fail2','o-p45fail1','o-p44fail5','o-p44fail4',
+ 'o-p44fail3','o-p44fail2','o-p44fail1','o-p43fail3','o-p43fail2',
+ 'o-p43fail1','o-p42fail3','o-p42fail2','o-p42fail1','o-p41fail3',
+ 'o-p41fail2','o-p41fail1','o-p40fail4','o-p40fail3','o-p40fail2',
+ 'o-p40fail1','o-p39fail5','o-p39fail4','o-p39fail3','o-p39fail2',
+ 'o-p39fail1','o-p32fail5','o-p32fail4','o-p32fail3','o-p32fail2',
+ 'o-p32fail1','o-p31fail1','o-p30fail1','o-p29fail1','o-p28fail1',
+ 'o-p27fail1','o-p26fail2','o-p26fail1','o-p25fail1','o-p24fail2',
+ 'o-p24fail1','o-p23fail5','o-p23fail4','o-p23fail3','o-p23fail2',
+ 'o-p23fail1','o-p22fail2','o-p22fail1','o-p18fail3','o-p18fail2',
+ 'o-p18fail1','o-p16fail3','o-p16fail2','o-p16fail1','o-p15fail3',
+ 'o-p15fail2','o-p15fail1','o-p14fail3','o-p14fail2','o-p14fail1',
+ 'o-p12fail7','o-p12fail6','o-p12fail5','o-p12fail4','o-p12fail3',
+ 'o-p12fail2','o-p12fail1','o-p11fail2','o-p11fail1','o-p10fail3',
+ 'o-p10fail2','o-p10fail1','o-p09fail5','o-p09fail4','o-p09fail3',
+ 'o-p09fail2','o-p09fail1','o-p05fail5','o-p05fail4','o-p05fail3',
+ 'o-p05fail2','o-p05fail1','o-p04fail3','o-p04fail2','o-p04fail1',
+ 'o-p03fail9','o-p03fail8','o-p03fail7','o-p03fail5','o-p03fail4',
+ 'o-p03fail3','o-p03fail29','o-p03fail28','o-p03fail27','o-p03fail26',
+ 'o-p03fail25','o-p03fail24','o-p03fail23','o-p03fail22','o-p03fail21',
+ 'o-p03fail20','o-p03fail2','o-p03fail19','o-p03fail18','o-p03fail17',
+ 'o-p03fail16','o-p03fail15','o-p03fail14','o-p03fail13','o-p03fail12',
+ 'o-p03fail11','o-p03fail10','o-p03fail1','o-p02fail9','o-p02fail8',
+ 'o-p02fail7','o-p02fail6','o-p02fail5','o-p02fail4','o-p02fail31',
+ 'o-p02fail30','o-p02fail3','o-p02fail29','o-p02fail28','o-p02fail27',
+ 'o-p02fail26','o-p02fail25','o-p02fail24','o-p02fail23','o-p02fail22',
+ 'o-p02fail21','o-p02fail20','o-p02fail2','o-p02fail19','o-p02fail18',
+ 'o-p02fail17','o-p02fail16','o-p02fail15','o-p02fail14','o-p02fail13',
+ 'o-p02fail12','o-p02fail11','o-p02fail10','o-p02fail1','o-p01fail4',
+ 'o-p01fail3','o-p01fail2','o-p01fail1','o-e2','o-p75pass1',
+ 'o-p74pass1','o-p66pass1','o-p44pass5','o-p44pass4','o-p44pass3',
+ 'o-p44pass2','o-p44pass1','o-p42pass2','o-p42pass1','o-p41pass2',
+ 'o-p41pass1','o-p40pass4','o-p40pass3','o-p40pass2','o-p40pass1',
+ 'o-p39pass2','o-p39pass1','o-p32pass2','o-p32pass1','o-p27pass4',
+ 'o-p27pass3','o-p27pass2','o-p27pass1','o-p26pass1','o-p25pass2',
+ 'o-p25pass1','o-p24pass4','o-p24pass3','o-p24pass2','o-p24pass1',
+ 'o-p23pass4','o-p23pass3','o-p23pass2','o-p23pass1','o-p22pass3',
+ 'o-p22pass2','o-p22pass1','o-p18pass1','o-p16pass3','o-p16pass2',
+ 'o-p16pass1','o-p15pass1','o-p14pass1','o-p10pass1','o-p08fail2',
+ 'o-p08fail1','o-p06fail1','o-p05pass1','o-p04pass1','o-p03pass1',
+ 'o-p01pass3','o-p01pass1','o-p76pass1','o-p73pass1','o-p72pass1',
+ 'o-p71pass1','o-p70pass1','o-p69pass1','o-p68pass1','o-p64pass1',
+ 'o-p63pass1','o-p62pass1','o-p61pass1','o-p60pass1','o-p59pass1',
+ 'o-p58pass1','o-p57pass1','o-p56pass1','o-p55pass1','o-p54pass1',
+ 'o-p53pass1','o-p52pass1','o-p51pass1','o-p50pass1','o-p49pass1',
+ 'o-p48pass1','o-p47pass1','o-p46pass1','o-p45pass1','o-p43pass1',
+ 'o-p31pass2','o-p31pass1','o-p30pass2','o-p30pass1','o-p29pass1',
+ 'o-p28pass5','o-p28pass4','o-p28pass3','o-p28pass1','o-p22pass6',
+ 'o-p22pass5','o-p22pass4','o-p12pass1','o-p09pass1','o-p08pass1',
+ 'o-p07pass1','o-p06pass1','o-p01pass2'].
+'ibm-invalid'(suite) ->
+ %% 48 test cases
+ ['ibm-invalid-P76-ibm76i01','ibm-invalid-P69-ibm69i04',
+ 'ibm-invalid-P69-ibm69i03','ibm-invalid-P69-ibm69i02',
+ 'ibm-invalid-P69-ibm69i01','ibm-invalid-P68-ibm68i04',
+ 'ibm-invalid-P68-ibm68i03','ibm-invalid-P68-ibm68i02',
+ 'ibm-invalid-P68-ibm68i01','ibm-invalid-P60-ibm60i04',
+ 'ibm-invalid-P60-ibm60i03','ibm-invalid-P60-ibm60i02',
+ 'ibm-invalid-P60-ibm60i01','ibm-invalid-P59-ibm59i01',
+ 'ibm-invalid-P58-ibm58i02','ibm-invalid-P58-ibm58i01',
+ 'ibm-invalid-P56-ibm56i18','ibm-invalid-P56-ibm56i17',
+ 'ibm-invalid-P56-ibm56i16','ibm-invalid-P56-ibm56i15',
+ 'ibm-invalid-P56-ibm56i14','ibm-invalid-P56-ibm56i13',
+ 'ibm-invalid-P56-ibm56i12','ibm-invalid-P56-ibm56i11',
+ 'ibm-invalid-P56-ibm56i10','ibm-invalid-P56-ibm56i09',
+ 'ibm-invalid-P56-ibm56i08','ibm-invalid-P56-ibm56i07',
+ 'ibm-invalid-P56-ibm56i06','ibm-invalid-P56-ibm56i05',
+ 'ibm-invalid-P56-ibm56i03','ibm-invalid-P56-ibm56i02',
+ 'ibm-invalid-P56-ibm56i01','ibm-invalid-P51-ibm51i03',
+ 'ibm-invalid-P51-ibm51i01','ibm-invalid-P50-ibm50i01',
+ 'ibm-invalid-P49-ibm49i01','ibm-invalid-P45-ibm45i01',
+ 'ibm-invalid-P41-ibm41i02','ibm-invalid-P41-ibm41i01',
+ 'ibm-invalid-P39-ibm39i04','ibm-invalid-P39-ibm39i03',
+ 'ibm-invalid-P39-ibm39i02','ibm-invalid-P39-ibm39i01',
+ 'ibm-invalid-P32-ibm32i04','ibm-invalid-P32-ibm32i03',
+ 'ibm-invalid-P32-ibm32i01','ibm-invalid-P28-ibm28i01'].
+'ibm-not-wf'(suite) ->
+ %% 731 test cases
+ ['ibm-not-wf-P89-ibm89n12','ibm-not-wf-P89-ibm89n11',
+ 'ibm-not-wf-P89-ibm89n10','ibm-not-wf-P89-ibm89n09',
+ 'ibm-not-wf-P89-ibm89n08','ibm-not-wf-P89-ibm89n07',
+ 'ibm-not-wf-P89-ibm89n06','ibm-not-wf-P89-ibm89n05',
+ 'ibm-not-wf-P89-ibm89n04','ibm-not-wf-P89-ibm89n03',
+ 'ibm-not-wf-P89-ibm89n02','ibm-not-wf-P89-ibm89n01',
+ 'ibm-not-wf-P88-ibm88n16','ibm-not-wf-P88-ibm88n15',
+ 'ibm-not-wf-P88-ibm88n14','ibm-not-wf-P88-ibm88n13',
+ 'ibm-not-wf-P88-ibm88n12','ibm-not-wf-P88-ibm88n11',
+ 'ibm-not-wf-P88-ibm88n10','ibm-not-wf-P88-ibm88n09',
+ 'ibm-not-wf-P88-ibm88n08','ibm-not-wf-P88-ibm88n06',
+ 'ibm-not-wf-P88-ibm88n05','ibm-not-wf-P88-ibm88n04',
+ 'ibm-not-wf-P88-ibm88n03','ibm-not-wf-P88-ibm88n02',
+ 'ibm-not-wf-P88-ibm88n01','ibm-not-wf-P87-ibm87n85',
+ 'ibm-not-wf-P87-ibm87n84','ibm-not-wf-P87-ibm87n83',
+ 'ibm-not-wf-P87-ibm87n82','ibm-not-wf-P87-ibm87n81',
+ 'ibm-not-wf-P87-ibm87n80','ibm-not-wf-P87-ibm87n79',
+ 'ibm-not-wf-P87-ibm87n78','ibm-not-wf-P87-ibm87n77',
+ 'ibm-not-wf-P87-ibm87n76','ibm-not-wf-P87-ibm87n75',
+ 'ibm-not-wf-P87-ibm87n74','ibm-not-wf-P87-ibm87n73',
+ 'ibm-not-wf-P87-ibm87n72','ibm-not-wf-P87-ibm87n71',
+ 'ibm-not-wf-P87-ibm87n70','ibm-not-wf-P87-ibm87n69',
+ 'ibm-not-wf-P87-ibm87n68','ibm-not-wf-P87-ibm87n67',
+ 'ibm-not-wf-P87-ibm87n66','ibm-not-wf-P87-ibm87n64',
+ 'ibm-not-wf-P87-ibm87n63','ibm-not-wf-P87-ibm87n62',
+ 'ibm-not-wf-P87-ibm87n61','ibm-not-wf-P87-ibm87n60',
+ 'ibm-not-wf-P87-ibm87n59','ibm-not-wf-P87-ibm87n58',
+ 'ibm-not-wf-P87-ibm87n57','ibm-not-wf-P87-ibm87n56',
+ 'ibm-not-wf-P87-ibm87n55','ibm-not-wf-P87-ibm87n54',
+ 'ibm-not-wf-P87-ibm87n53','ibm-not-wf-P87-ibm87n52',
+ 'ibm-not-wf-P87-ibm87n51','ibm-not-wf-P87-ibm87n50',
+ 'ibm-not-wf-P87-ibm87n49','ibm-not-wf-P87-ibm87n48',
+ 'ibm-not-wf-P87-ibm87n47','ibm-not-wf-P87-ibm87n46',
+ 'ibm-not-wf-P87-ibm87n45','ibm-not-wf-P87-ibm87n44',
+ 'ibm-not-wf-P87-ibm87n43','ibm-not-wf-P87-ibm87n42',
+ 'ibm-not-wf-P87-ibm87n41','ibm-not-wf-P87-ibm87n40',
+ 'ibm-not-wf-P87-ibm87n39','ibm-not-wf-P87-ibm87n38',
+ 'ibm-not-wf-P87-ibm87n37','ibm-not-wf-P87-ibm87n36',
+ 'ibm-not-wf-P87-ibm87n35','ibm-not-wf-P87-ibm87n34',
+ 'ibm-not-wf-P87-ibm87n33','ibm-not-wf-P87-ibm87n32',
+ 'ibm-not-wf-P87-ibm87n31','ibm-not-wf-P87-ibm87n30',
+ 'ibm-not-wf-P87-ibm87n29','ibm-not-wf-P87-ibm87n28',
+ 'ibm-not-wf-P87-ibm87n27','ibm-not-wf-P87-ibm87n26',
+ 'ibm-not-wf-P87-ibm87n25','ibm-not-wf-P87-ibm87n24',
+ 'ibm-not-wf-P87-ibm87n23','ibm-not-wf-P87-ibm87n22',
+ 'ibm-not-wf-P87-ibm87n21','ibm-not-wf-P87-ibm87n20',
+ 'ibm-not-wf-P87-ibm87n19','ibm-not-wf-P87-ibm87n18',
+ 'ibm-not-wf-P87-ibm87n17','ibm-not-wf-P87-ibm87n16',
+ 'ibm-not-wf-P87-ibm87n15','ibm-not-wf-P87-ibm87n14',
+ 'ibm-not-wf-P87-ibm87n13','ibm-not-wf-P87-ibm87n12',
+ 'ibm-not-wf-P87-ibm87n11','ibm-not-wf-P87-ibm87n10',
+ 'ibm-not-wf-P87-ibm87n09','ibm-not-wf-P87-ibm87n08',
+ 'ibm-not-wf-P87-ibm87n07','ibm-not-wf-P87-ibm87n06',
+ 'ibm-not-wf-P87-ibm87n05','ibm-not-wf-P87-ibm87n04',
+ 'ibm-not-wf-P87-ibm87n03','ibm-not-wf-P87-ibm87n02',
+ 'ibm-not-wf-P87-ibm87n01','ibm-not-wf-P86-ibm86n04',
+ 'ibm-not-wf-P86-ibm86n03','ibm-not-wf-P86-ibm86n02',
+ 'ibm-not-wf-P86-ibm86n01','ibm-not-wf-P85-ibm85n99',
+ 'ibm-not-wf-P85-ibm85n98','ibm-not-wf-P85-ibm85n97',
+ 'ibm-not-wf-P85-ibm85n96','ibm-not-wf-P85-ibm85n95',
+ 'ibm-not-wf-P85-ibm85n94','ibm-not-wf-P85-ibm85n93',
+ 'ibm-not-wf-P85-ibm85n92','ibm-not-wf-P85-ibm85n91',
+ 'ibm-not-wf-P85-ibm85n90','ibm-not-wf-P85-ibm85n89',
+ 'ibm-not-wf-P85-ibm85n88','ibm-not-wf-P85-ibm85n87',
+ 'ibm-not-wf-P85-ibm85n86','ibm-not-wf-P85-ibm85n85',
+ 'ibm-not-wf-P85-ibm85n84','ibm-not-wf-P85-ibm85n83',
+ 'ibm-not-wf-P85-ibm85n82','ibm-not-wf-P85-ibm85n81',
+ 'ibm-not-wf-P85-ibm85n80','ibm-not-wf-P85-ibm85n79',
+ 'ibm-not-wf-P85-ibm85n78','ibm-not-wf-P85-ibm85n77',
+ 'ibm-not-wf-P85-ibm85n76','ibm-not-wf-P85-ibm85n75',
+ 'ibm-not-wf-P85-ibm85n74','ibm-not-wf-P85-ibm85n73',
+ 'ibm-not-wf-P85-ibm85n72','ibm-not-wf-P85-ibm85n71',
+ 'ibm-not-wf-P85-ibm85n70','ibm-not-wf-P85-ibm85n69',
+ 'ibm-not-wf-P85-ibm85n68','ibm-not-wf-P85-ibm85n67',
+ 'ibm-not-wf-P85-ibm85n66','ibm-not-wf-P85-ibm85n65',
+ 'ibm-not-wf-P85-ibm85n64','ibm-not-wf-P85-ibm85n63',
+ 'ibm-not-wf-P85-ibm85n62','ibm-not-wf-P85-ibm85n61',
+ 'ibm-not-wf-P85-ibm85n60','ibm-not-wf-P85-ibm85n59',
+ 'ibm-not-wf-P85-ibm85n58','ibm-not-wf-P85-ibm85n57',
+ 'ibm-not-wf-P85-ibm85n56','ibm-not-wf-P85-ibm85n55',
+ 'ibm-not-wf-P85-ibm85n54','ibm-not-wf-P85-ibm85n53',
+ 'ibm-not-wf-P85-ibm85n52','ibm-not-wf-P85-ibm85n51',
+ 'ibm-not-wf-P85-ibm85n50','ibm-not-wf-P85-ibm85n49',
+ 'ibm-not-wf-P85-ibm85n48','ibm-not-wf-P85-ibm85n47',
+ 'ibm-not-wf-P85-ibm85n46','ibm-not-wf-P85-ibm85n45',
+ 'ibm-not-wf-P85-ibm85n44','ibm-not-wf-P85-ibm85n43',
+ 'ibm-not-wf-P85-ibm85n42','ibm-not-wf-P85-ibm85n41',
+ 'ibm-not-wf-P85-ibm85n40','ibm-not-wf-P85-ibm85n39',
+ 'ibm-not-wf-P85-ibm85n38','ibm-not-wf-P85-ibm85n37',
+ 'ibm-not-wf-P85-ibm85n36','ibm-not-wf-P85-ibm85n35',
+ 'ibm-not-wf-P85-ibm85n34','ibm-not-wf-P85-ibm85n33',
+ 'ibm-not-wf-P85-ibm85n32','ibm-not-wf-P85-ibm85n31',
+ 'ibm-not-wf-P85-ibm85n30','ibm-not-wf-P85-ibm85n29',
+ 'ibm-not-wf-P85-ibm85n28','ibm-not-wf-P85-ibm85n27',
+ 'ibm-not-wf-P85-ibm85n26','ibm-not-wf-P85-ibm85n25',
+ 'ibm-not-wf-P85-ibm85n24','ibm-not-wf-P85-ibm85n23',
+ 'ibm-not-wf-P85-ibm85n22','ibm-not-wf-P85-ibm85n21',
+ 'ibm-not-wf-P85-ibm85n20','ibm-not-wf-P85-ibm85n198',
+ 'ibm-not-wf-P85-ibm85n197','ibm-not-wf-P85-ibm85n196',
+ 'ibm-not-wf-P85-ibm85n195','ibm-not-wf-P85-ibm85n194',
+ 'ibm-not-wf-P85-ibm85n193','ibm-not-wf-P85-ibm85n192',
+ 'ibm-not-wf-P85-ibm85n191','ibm-not-wf-P85-ibm85n190',
+ 'ibm-not-wf-P85-ibm85n19','ibm-not-wf-P85-ibm85n189',
+ 'ibm-not-wf-P85-ibm85n188','ibm-not-wf-P85-ibm85n187',
+ 'ibm-not-wf-P85-ibm85n186','ibm-not-wf-P85-ibm85n185',
+ 'ibm-not-wf-P85-ibm85n184','ibm-not-wf-P85-ibm85n183',
+ 'ibm-not-wf-P85-ibm85n182','ibm-not-wf-P85-ibm85n181',
+ 'ibm-not-wf-P85-ibm85n180','ibm-not-wf-P85-ibm85n18',
+ 'ibm-not-wf-P85-ibm85n179','ibm-not-wf-P85-ibm85n178',
+ 'ibm-not-wf-P85-ibm85n177','ibm-not-wf-P85-ibm85n176',
+ 'ibm-not-wf-P85-ibm85n175','ibm-not-wf-P85-ibm85n174',
+ 'ibm-not-wf-P85-ibm85n173','ibm-not-wf-P85-ibm85n172',
+ 'ibm-not-wf-P85-ibm85n171','ibm-not-wf-P85-ibm85n170',
+ 'ibm-not-wf-P85-ibm85n17','ibm-not-wf-P85-ibm85n169',
+ 'ibm-not-wf-P85-ibm85n168','ibm-not-wf-P85-ibm85n167',
+ 'ibm-not-wf-P85-ibm85n166','ibm-not-wf-P85-ibm85n165',
+ 'ibm-not-wf-P85-ibm85n164','ibm-not-wf-P85-ibm85n163',
+ 'ibm-not-wf-P85-ibm85n162','ibm-not-wf-P85-ibm85n161',
+ 'ibm-not-wf-P85-ibm85n160','ibm-not-wf-P85-ibm85n16',
+ 'ibm-not-wf-P85-ibm85n159','ibm-not-wf-P85-ibm85n158',
+ 'ibm-not-wf-P85-ibm85n157','ibm-not-wf-P85-ibm85n156',
+ 'ibm-not-wf-P85-ibm85n155','ibm-not-wf-P85-ibm85n154',
+ 'ibm-not-wf-P85-ibm85n153','ibm-not-wf-P85-ibm85n152',
+ 'ibm-not-wf-P85-ibm85n151','ibm-not-wf-P85-ibm85n150',
+ 'ibm-not-wf-P85-ibm85n15','ibm-not-wf-P85-ibm85n149',
+ 'ibm-not-wf-P85-ibm85n148','ibm-not-wf-P85-ibm85n147',
+ 'ibm-not-wf-P85-ibm85n146','ibm-not-wf-P85-ibm85n145',
+ 'ibm-not-wf-P85-ibm85n144','ibm-not-wf-P85-ibm85n143',
+ 'ibm-not-wf-P85-ibm85n142','ibm-not-wf-P85-ibm85n141',
+ 'ibm-not-wf-P85-ibm85n140','ibm-not-wf-P85-ibm85n14',
+ 'ibm-not-wf-P85-ibm85n139','ibm-not-wf-P85-ibm85n138',
+ 'ibm-not-wf-P85-ibm85n137','ibm-not-wf-P85-ibm85n136',
+ 'ibm-not-wf-P85-ibm85n135','ibm-not-wf-P85-ibm85n134',
+ 'ibm-not-wf-P85-ibm85n133','ibm-not-wf-P85-ibm85n132',
+ 'ibm-not-wf-P85-ibm85n131','ibm-not-wf-P85-ibm85n130',
+ 'ibm-not-wf-P85-ibm85n13','ibm-not-wf-P85-ibm85n129',
+ 'ibm-not-wf-P85-ibm85n128','ibm-not-wf-P85-ibm85n127',
+ 'ibm-not-wf-P85-ibm85n126','ibm-not-wf-P85-ibm85n125',
+ 'ibm-not-wf-P85-ibm85n124','ibm-not-wf-P85-ibm85n123',
+ 'ibm-not-wf-P85-ibm85n122','ibm-not-wf-P85-ibm85n121',
+ 'ibm-not-wf-P85-ibm85n120','ibm-not-wf-P85-ibm85n12',
+ 'ibm-not-wf-P85-ibm85n119','ibm-not-wf-P85-ibm85n118',
+ 'ibm-not-wf-P85-ibm85n117','ibm-not-wf-P85-ibm85n116',
+ 'ibm-not-wf-P85-ibm85n115','ibm-not-wf-P85-ibm85n114',
+ 'ibm-not-wf-P85-ibm85n113','ibm-not-wf-P85-ibm85n112',
+ 'ibm-not-wf-P85-ibm85n111','ibm-not-wf-P85-ibm85n110',
+ 'ibm-not-wf-P85-ibm85n11','ibm-not-wf-P85-ibm85n109',
+ 'ibm-not-wf-P85-ibm85n108','ibm-not-wf-P85-ibm85n107',
+ 'ibm-not-wf-P85-ibm85n106','ibm-not-wf-P85-ibm85n105',
+ 'ibm-not-wf-P85-ibm85n104','ibm-not-wf-P85-ibm85n103',
+ 'ibm-not-wf-P85-ibm85n102','ibm-not-wf-P85-ibm85n101',
+ 'ibm-not-wf-P85-ibm85n100','ibm-not-wf-P85-ibm85n10',
+ 'ibm-not-wf-P85-ibm85n09','ibm-not-wf-P85-ibm85n08',
+ 'ibm-not-wf-P85-ibm85n07','ibm-not-wf-P85-ibm85n06',
+ 'ibm-not-wf-P85-ibm85n05','ibm-not-wf-P85-ibm85n04',
+ 'ibm-not-wf-P85-ibm85n03','ibm-not-wf-P85-ibm85n02',
+ 'ibm-not-wf-P85-ibm85n01','ibm-not-wf-P83-ibm83n06',
+ 'ibm-not-wf-P83-ibm83n05','ibm-not-wf-P83-ibm83n04',
+ 'ibm-not-wf-P83-ibm83n03','ibm-not-wf-P83-ibm83n02',
+ 'ibm-not-wf-P83-ibm83n01','ibm-not-wf-P82-ibm82n08',
+ 'ibm-not-wf-P82-ibm82n07','ibm-not-wf-P82-ibm82n06',
+ 'ibm-not-wf-P82-ibm82n05','ibm-not-wf-P82-ibm82n04',
+ 'ibm-not-wf-P82-ibm82n03','ibm-not-wf-P82-ibm82n02',
+ 'ibm-not-wf-P82-ibm82n01','ibm-not-wf-P81-ibm81n09',
+ 'ibm-not-wf-P81-ibm81n08','ibm-not-wf-P81-ibm81n07',
+ 'ibm-not-wf-P81-ibm81n06','ibm-not-wf-P81-ibm81n05',
+ 'ibm-not-wf-P81-ibm81n04','ibm-not-wf-P81-ibm81n03',
+ 'ibm-not-wf-P81-ibm81n02','ibm-not-wf-P81-ibm81n01',
+ 'ibm-not-wf-P80-ibm80n06','ibm-not-wf-P80-ibm80n05',
+ 'ibm-not-wf-P80-ibm80n04','ibm-not-wf-P80-ibm80n03',
+ 'ibm-not-wf-P80-ibm80n02','ibm-not-wf-P80-ibm80n01',
+ 'ibm-not-wf-P79-ibm79n02','ibm-not-wf-P79-ibm79n01',
+ 'ibm-not-wf-P78-ibm78n02','ibm-not-wf-P78-ibm78n01',
+ 'ibm-not-wf-P77-ibm77n04','ibm-not-wf-P77-ibm77n03',
+ 'ibm-not-wf-P77-ibm77n02','ibm-not-wf-P77-ibm77n01',
+ 'ibm-not-wf-P76-ibm76n07','ibm-not-wf-P76-ibm76n06',
+ 'ibm-not-wf-P76-ibm76n05','ibm-not-wf-P76-ibm76n04',
+ 'ibm-not-wf-P76-ibm76n03','ibm-not-wf-P76-ibm76n02',
+ 'ibm-not-wf-P76-ibm76n01','ibm-not-wf-P75-ibm75n13',
+ 'ibm-not-wf-P75-ibm75n12','ibm-not-wf-P75-ibm75n11',
+ 'ibm-not-wf-P75-ibm75n10','ibm-not-wf-P75-ibm75n09',
+ 'ibm-not-wf-P75-ibm75n08','ibm-not-wf-P75-ibm75n07',
+ 'ibm-not-wf-P75-ibm75n06','ibm-not-wf-P75-ibm75n05',
+ 'ibm-not-wf-P75-ibm75n04','ibm-not-wf-P75-ibm75n03',
+ 'ibm-not-wf-P75-ibm75n02','ibm-not-wf-P75-ibm75n01',
+ 'ibm-not-wf-P74-ibm74n01','ibm-not-wf-P73-ibm73n03',
+ 'ibm-not-wf-P73-ibm73n01','ibm-not-wf-P72-ibm72n09',
+ 'ibm-not-wf-P72-ibm72n08','ibm-not-wf-P72-ibm72n07',
+ 'ibm-not-wf-P72-ibm72n06','ibm-not-wf-P72-ibm72n05',
+ 'ibm-not-wf-P72-ibm72n04','ibm-not-wf-P72-ibm72n03',
+ 'ibm-not-wf-P72-ibm72n02','ibm-not-wf-P72-ibm72n01',
+ 'ibm-not-wf-P71-ibm71n08','ibm-not-wf-P71-ibm71n07',
+ 'ibm-not-wf-P71-ibm71n06','ibm-not-wf-P71-ibm71n05',
+ 'ibm-not-wf-P71-ibm71n04','ibm-not-wf-P71-ibm71n03',
+ 'ibm-not-wf-P71-ibm71n02','ibm-not-wf-P71-ibm71n01',
+ 'ibm-not-wf-P71-ibm70n01','ibm-not-wf-P69-ibm69n07',
+ 'ibm-not-wf-P69-ibm69n06','ibm-not-wf-P69-ibm69n05',
+ 'ibm-not-wf-P69-ibm69n04','ibm-not-wf-P69-ibm69n03',
+ 'ibm-not-wf-P69-ibm69n02','ibm-not-wf-P69-ibm69n01',
+ 'ibm-not-wf-P68-ibm68n10','ibm-not-wf-P68-ibm68n09',
+ 'ibm-not-wf-P68-ibm68n08','ibm-not-wf-P68-ibm68n07',
+ 'ibm-not-wf-P68-ibm68n06','ibm-not-wf-P68-ibm68n05',
+ 'ibm-not-wf-P68-ibm68n04','ibm-not-wf-P68-ibm68n03',
+ 'ibm-not-wf-P68-ibm68n02','ibm-not-wf-P68-ibm68n01',
+ 'ibm-not-wf-P66-ibm66n15','ibm-not-wf-P66-ibm66n14',
+ 'ibm-not-wf-P66-ibm66n13','ibm-not-wf-P66-ibm66n12',
+ 'ibm-not-wf-P66-ibm66n11','ibm-not-wf-P66-ibm66n10',
+ 'ibm-not-wf-P66-ibm66n09','ibm-not-wf-P66-ibm66n08',
+ 'ibm-not-wf-P66-ibm66n07','ibm-not-wf-P66-ibm66n06',
+ 'ibm-not-wf-P66-ibm66n05','ibm-not-wf-P66-ibm66n04',
+ 'ibm-not-wf-P66-ibm66n03','ibm-not-wf-P66-ibm66n02',
+ 'ibm-not-wf-P66-ibm66n01','ibm-not-wf-P65-ibm65n02',
+ 'ibm-not-wf-P65-ibm65n01','ibm-not-wf-P64-ibm64n03',
+ 'ibm-not-wf-P64-ibm64n02','ibm-not-wf-P64-ibm64n01',
+ 'ibm-not-wf-P63-ibm63n07','ibm-not-wf-P63-ibm63n06',
+ 'ibm-not-wf-P63-ibm63n05','ibm-not-wf-P63-ibm63n04',
+ 'ibm-not-wf-P63-ibm63n03','ibm-not-wf-P63-ibm63n02',
+ 'ibm-not-wf-P63-ibm63n01','ibm-not-wf-P62-ibm62n08',
+ 'ibm-not-wf-P62-ibm62n07','ibm-not-wf-P62-ibm62n06',
+ 'ibm-not-wf-P62-ibm62n05','ibm-not-wf-P62-ibm62n04',
+ 'ibm-not-wf-P62-ibm62n03','ibm-not-wf-P62-ibm62n02',
+ 'ibm-not-wf-P62-ibm62n01','ibm-not-wf-P61-ibm61n01',
+ 'ibm-not-wf-P60-ibm60n08','ibm-not-wf-P60-ibm60n07',
+ 'ibm-not-wf-P60-ibm60n06','ibm-not-wf-P60-ibm60n05',
+ 'ibm-not-wf-P60-ibm60n04','ibm-not-wf-P60-ibm60n03',
+ 'ibm-not-wf-P60-ibm60n02','ibm-not-wf-P60-ibm60n01',
+ 'ibm-not-wf-P59-ibm59n06','ibm-not-wf-P59-ibm59n05',
+ 'ibm-not-wf-P59-ibm59n04','ibm-not-wf-P59-ibm59n03',
+ 'ibm-not-wf-P59-ibm59n02','ibm-not-wf-P59-ibm59n01',
+ 'ibm-not-wf-P58-ibm58n08','ibm-not-wf-P58-ibm58n07',
+ 'ibm-not-wf-P58-ibm58n06','ibm-not-wf-P58-ibm58n05',
+ 'ibm-not-wf-P58-ibm58n04','ibm-not-wf-P58-ibm58n03',
+ 'ibm-not-wf-P58-ibm58n02','ibm-not-wf-P58-ibm58n01',
+ 'ibm-not-wf-P57-ibm57n01','ibm-not-wf-P56-ibm56n07',
+ 'ibm-not-wf-P56-ibm56n06','ibm-not-wf-P56-ibm56n05',
+ 'ibm-not-wf-P56-ibm56n04','ibm-not-wf-P56-ibm56n03',
+ 'ibm-not-wf-P56-ibm56n02','ibm-not-wf-P56-ibm56n01',
+ 'ibm-not-wf-P55-ibm55n03','ibm-not-wf-P55-ibm55n02',
+ 'ibm-not-wf-P55-ibm55n01','ibm-not-wf-P54-ibm54n02',
+ 'ibm-not-wf-P54-ibm54n01','ibm-not-wf-P53-ibm53n08',
+ 'ibm-not-wf-P53-ibm53n07','ibm-not-wf-P53-ibm53n06',
+ 'ibm-not-wf-P53-ibm53n05','ibm-not-wf-P53-ibm53n04',
+ 'ibm-not-wf-P53-ibm53n03','ibm-not-wf-P53-ibm53n02',
+ 'ibm-not-wf-P53-ibm53n01','ibm-not-wf-P52-ibm52n06',
+ 'ibm-not-wf-P52-ibm52n05','ibm-not-wf-P52-ibm52n04',
+ 'ibm-not-wf-P52-ibm52n03','ibm-not-wf-P52-ibm52n02',
+ 'ibm-not-wf-P52-ibm52n01','ibm-not-wf-P51-ibm51n07',
+ 'ibm-not-wf-P51-ibm51n06','ibm-not-wf-P51-ibm51n05',
+ 'ibm-not-wf-P51-ibm51n04','ibm-not-wf-P51-ibm51n03',
+ 'ibm-not-wf-P51-ibm51n02','ibm-not-wf-P51-ibm51n01',
+ 'ibm-not-wf-P50-ibm50n07','ibm-not-wf-P50-ibm50n06',
+ 'ibm-not-wf-P50-ibm50n05','ibm-not-wf-P50-ibm50n04',
+ 'ibm-not-wf-P50-ibm50n03','ibm-not-wf-P50-ibm50n02',
+ 'ibm-not-wf-P50-ibm50n01','ibm-not-wf-P49-ibm49n06',
+ 'ibm-not-wf-P49-ibm49n05','ibm-not-wf-P49-ibm49n04',
+ 'ibm-not-wf-P49-ibm49n03','ibm-not-wf-P49-ibm49n02',
+ 'ibm-not-wf-P49-ibm49n01','ibm-not-wf-P48-ibm48n07',
+ 'ibm-not-wf-P48-ibm48n06','ibm-not-wf-P48-ibm48n05',
+ 'ibm-not-wf-P48-ibm48n04','ibm-not-wf-P48-ibm48n03',
+ 'ibm-not-wf-P48-ibm48n02','ibm-not-wf-P48-ibm48n01',
+ 'ibm-not-wf-P47-ibm47n06','ibm-not-wf-P47-ibm47n05',
+ 'ibm-not-wf-P47-ibm47n04','ibm-not-wf-P47-ibm47n03',
+ 'ibm-not-wf-P47-ibm47n02','ibm-not-wf-P47-ibm47n01',
+ 'ibm-not-wf-P46-ibm46n05','ibm-not-wf-P46-ibm46n04',
+ 'ibm-not-wf-P46-ibm46n03','ibm-not-wf-P46-ibm46n02',
+ 'ibm-not-wf-P46-ibm46n01','ibm-not-wf-P45-ibm45n09',
+ 'ibm-not-wf-P45-ibm45n08','ibm-not-wf-P45-ibm45n07',
+ 'ibm-not-wf-P45-ibm45n06','ibm-not-wf-P45-ibm45n05',
+ 'ibm-not-wf-P45-ibm45n04','ibm-not-wf-P45-ibm45n03',
+ 'ibm-not-wf-P45-ibm45n02','ibm-not-wf-P45-ibm45n01',
+ 'ibm-not-wf-P44-ibm44n04','ibm-not-wf-P44-ibm44n03',
+ 'ibm-not-wf-P44-ibm44n02','ibm-not-wf-P44-ibm44n01',
+ 'ibm-not-wf-P43-ibm43n05','ibm-not-wf-P43-ibm43n04',
+ 'ibm-not-wf-P43-ibm43n02','ibm-not-wf-P43-ibm43n01',
+ 'ibm-not-wf-P42-ibm42n05','ibm-not-wf-P42-ibm42n04',
+ 'ibm-not-wf-P42-ibm42n03','ibm-not-wf-P42-ibm42n02',
+ 'ibm-not-wf-P42-ibm42n01','ibm-not-wf-P41-ibm41n14',
+ 'ibm-not-wf-P41-ibm41n13','ibm-not-wf-P41-ibm41n12',
+ 'ibm-not-wf-P41-ibm41n11','ibm-not-wf-P41-ibm41n10',
+ 'ibm-not-wf-P41-ibm41n09','ibm-not-wf-P41-ibm41n08',
+ 'ibm-not-wf-P41-ibm41n07','ibm-not-wf-P41-ibm41n06',
+ 'ibm-not-wf-P41-ibm41n05','ibm-not-wf-P41-ibm41n04',
+ 'ibm-not-wf-P41-ibm41n03','ibm-not-wf-P41-ibm41n02',
+ 'ibm-not-wf-P41-ibm41n01','ibm-not-wf-P40-ibm40n05',
+ 'ibm-not-wf-P40-ibm40n04','ibm-not-wf-P40-ibm40n03',
+ 'ibm-not-wf-P40-ibm40n02','ibm-not-wf-P40-ibm40n01',
+ 'ibm-not-wf-P39-ibm39n06','ibm-not-wf-P39-ibm39n05',
+ 'ibm-not-wf-P39-ibm39n04','ibm-not-wf-P39-ibm39n03',
+ 'ibm-not-wf-P39-ibm39n02','ibm-not-wf-P39-ibm39n01',
+ 'ibm-not-wf-P32-ibm32n09','ibm-not-wf-P32-ibm32n08',
+ 'ibm-not-wf-P32-ibm32n07','ibm-not-wf-P32-ibm32n06',
+ 'ibm-not-wf-P32-ibm32n05','ibm-not-wf-P32-ibm32n04',
+ 'ibm-not-wf-P32-ibm32n03','ibm-not-wf-P32-ibm32n02',
+ 'ibm-not-wf-P32-ibm32n01','ibm-not-wf-P31-ibm31n01',
+ 'ibm-not-wf-P30-ibm30n01','ibm-not-wf-P29-ibm29n07',
+ 'ibm-not-wf-P29-ibm29n06','ibm-not-wf-P29-ibm29n05',
+ 'ibm-not-wf-P29-ibm29n04','ibm-not-wf-P29-ibm29n03',
+ 'ibm-not-wf-P29-ibm29n02','ibm-not-wf-P29-ibm29n01',
+ 'ibm-not-wf-P28a-ibm28an01','ibm-not-wf-P28-ibm28n08',
+ 'ibm-not-wf-P28-ibm28n07','ibm-not-wf-P28-ibm28n06',
+ 'ibm-not-wf-P28-ibm28n05','ibm-not-wf-P28-ibm28n04',
+ 'ibm-not-wf-P28-ibm28n03','ibm-not-wf-P28-ibm28n02',
+ 'ibm-not-wf-P28-ibm28n01','ibm-not-wf-P27-ibm27n01',
+ 'ibm-not-wf-P26-ibm26n01','ibm-not-wf-P25-ibm25n02',
+ 'ibm-not-wf-P25-ibm25n01','ibm-not-wf-P24-ibm24n09',
+ 'ibm-not-wf-P24-ibm24n08','ibm-not-wf-P24-ibm24n07',
+ 'ibm-not-wf-P24-ibm24n06','ibm-not-wf-P24-ibm24n05',
+ 'ibm-not-wf-P24-ibm24n04','ibm-not-wf-P24-ibm24n03',
+ 'ibm-not-wf-P24-ibm24n02','ibm-not-wf-P24-ibm24n01',
+ 'ibm-not-wf-P23-ibm23n06','ibm-not-wf-P23-ibm23n05',
+ 'ibm-not-wf-P23-ibm23n04','ibm-not-wf-P23-ibm23n03',
+ 'ibm-not-wf-P23-ibm23n02','ibm-not-wf-P23-ibm23n01',
+ 'ibm-not-wf-P22-ibm22n03','ibm-not-wf-P22-ibm22n02',
+ 'ibm-not-wf-P22-ibm22n01','ibm-not-wf-P21-ibm21n03',
+ 'ibm-not-wf-P21-ibm21n02','ibm-not-wf-P21-ibm21n01',
+ 'ibm-not-wf-P20-ibm20n01','ibm-not-wf-P19-ibm19n03',
+ 'ibm-not-wf-P19-ibm19n02','ibm-not-wf-P19-ibm19n01',
+ 'ibm-not-wf-P18-ibm18n02','ibm-not-wf-P18-ibm18n01',
+ 'ibm-not-wf-P17-ibm17n04','ibm-not-wf-P17-ibm17n03',
+ 'ibm-not-wf-P17-ibm17n02','ibm-not-wf-P17-ibm17n01',
+ 'ibm-not-wf-P16-ibm16n04','ibm-not-wf-P16-ibm16n03',
+ 'ibm-not-wf-P16-ibm16n02','ibm-not-wf-P16-ibm16n01',
+ 'ibm-not-wf-P15-ibm15n04','ibm-not-wf-P15-ibm15n03',
+ 'ibm-not-wf-P15-ibm15n02','ibm-not-wf-P15-ibm15n01',
+ 'ibm-not-wf-P14-ibm14n03','ibm-not-wf-P14-ibm14n02',
+ 'ibm-not-wf-P14-ibm14n01','ibm-not-wf-P13-ibm13n03',
+ 'ibm-not-wf-P13-ibm13n02','ibm-not-wf-P13-ibm13n01',
+ 'ibm-not-wf-P12-ibm12n03','ibm-not-wf-P12-ibm12n02',
+ 'ibm-not-wf-P12-ibm12n01','ibm-not-wf-P11-ibm11n04',
+ 'ibm-not-wf-P11-ibm11n03','ibm-not-wf-P11-ibm11n02',
+ 'ibm-not-wf-P11-ibm11n01','ibm-not-wf-P10-ibm10n08',
+ 'ibm-not-wf-P10-ibm10n07','ibm-not-wf-P10-ibm10n06',
+ 'ibm-not-wf-P10-ibm10n05','ibm-not-wf-P10-ibm10n04',
+ 'ibm-not-wf-P10-ibm10n03','ibm-not-wf-P10-ibm10n02',
+ 'ibm-not-wf-P10-ibm10n01','ibm-not-wf-P09-ibm09n04',
+ 'ibm-not-wf-P09-ibm09n03','ibm-not-wf-P09-ibm09n02',
+ 'ibm-not-wf-P09-ibm09n01','ibm-not-wf-P05-ibm05n03',
+ 'ibm-not-wf-P05-ibm05n02','ibm-not-wf-P05-ibm05n01',
+ 'ibm-not-wf-P04-ibm04n18','ibm-not-wf-P04-ibm04n17',
+ 'ibm-not-wf-P04-ibm04n16','ibm-not-wf-P04-ibm04n15',
+ 'ibm-not-wf-P04-ibm04n14','ibm-not-wf-P04-ibm04n13',
+ 'ibm-not-wf-P04-ibm04n12','ibm-not-wf-P04-ibm04n11',
+ 'ibm-not-wf-P04-ibm04n10','ibm-not-wf-P04-ibm04n09',
+ 'ibm-not-wf-P04-ibm04n08','ibm-not-wf-P04-ibm04n07',
+ 'ibm-not-wf-P04-ibm04n06','ibm-not-wf-P04-ibm04n05',
+ 'ibm-not-wf-P04-ibm04n04','ibm-not-wf-P04-ibm04n03',
+ 'ibm-not-wf-P04-ibm04n02','ibm-not-wf-P04-ibm04n01',
+ 'ibm-not-wf-P03-ibm03n01','ibm-not-wf-P02-ibm02n33',
+ 'ibm-not-wf-P02-ibm02n32','ibm-not-wf-P02-ibm02n31',
+ 'ibm-not-wf-P02-ibm02n30','ibm-not-wf-P02-ibm02n29',
+ 'ibm-not-wf-P02-ibm02n28','ibm-not-wf-P02-ibm02n27',
+ 'ibm-not-wf-P02-ibm02n26','ibm-not-wf-P02-ibm02n25',
+ 'ibm-not-wf-P02-ibm02n24','ibm-not-wf-P02-ibm02n23',
+ 'ibm-not-wf-P02-ibm02n22','ibm-not-wf-P02-ibm02n21',
+ 'ibm-not-wf-P02-ibm02n20','ibm-not-wf-P02-ibm02n19',
+ 'ibm-not-wf-P02-ibm02n18','ibm-not-wf-P02-ibm02n17',
+ 'ibm-not-wf-P02-ibm02n16','ibm-not-wf-P02-ibm02n15',
+ 'ibm-not-wf-P02-ibm02n14','ibm-not-wf-P02-ibm02n13',
+ 'ibm-not-wf-P02-ibm02n12','ibm-not-wf-P02-ibm02n11',
+ 'ibm-not-wf-P02-ibm02n10','ibm-not-wf-P02-ibm02n09',
+ 'ibm-not-wf-P02-ibm02n08','ibm-not-wf-P02-ibm02n07',
+ 'ibm-not-wf-P02-ibm02n06','ibm-not-wf-P02-ibm02n05',
+ 'ibm-not-wf-P02-ibm02n04','ibm-not-wf-P02-ibm02n03',
+ 'ibm-not-wf-P02-ibm02n02','ibm-not-wf-P02-ibm02n01',
+ 'ibm-not-wf-P01-ibm01n03','ibm-not-wf-P01-ibm01n02',
+ 'ibm-not-wf-P01-ibm01n01'].
+
+'ibm-valid'(suite) ->
+ %% 149 test cases
+ ['ibm-valid-P89-ibm89v01','ibm-valid-P88-ibm88v01',
+ 'ibm-valid-P87-ibm87v01','ibm-valid-P86-ibm86v01',
+ 'ibm-valid-P85-ibm85v01','ibm-valid-P82-ibm82v01',
+ 'ibm-valid-P79-ibm79v01','ibm-valid-P78-ibm78v01',
+ 'ibm-valid-P70-ibm70v01','ibm-valid-P69-ibm69v02',
+ 'ibm-valid-P69-ibm69v01','ibm-valid-P68-ibm68v02',
+ 'ibm-valid-P68-ibm68v01','ibm-valid-P67-ibm67v01',
+ 'ibm-valid-P66-ibm66v01','ibm-valid-P65-ibm65v02',
+ 'ibm-valid-P65-ibm65v01','ibm-valid-P64-ibm64v03',
+ 'ibm-valid-P64-ibm64v02','ibm-valid-P64-ibm64v01',
+ 'ibm-valid-P63-ibm63v05','ibm-valid-P63-ibm63v04',
+ 'ibm-valid-P63-ibm63v03','ibm-valid-P63-ibm63v02',
+ 'ibm-valid-P63-ibm63v01','ibm-valid-P62-ibm62v05',
+ 'ibm-valid-P62-ibm62v04','ibm-valid-P62-ibm62v03',
+ 'ibm-valid-P62-ibm62v02','ibm-valid-P62-ibm62v01',
+ 'ibm-valid-P61-ibm61v02','ibm-valid-P61-ibm61v01',
+ 'ibm-valid-P60-ibm60v04','ibm-valid-P60-ibm60v03',
+ 'ibm-valid-P60-ibm60v02','ibm-valid-P60-ibm60v01',
+ 'ibm-valid-P59-ibm59v02','ibm-valid-P59-ibm59v01',
+ 'ibm-valid-P58-ibm58v02','ibm-valid-P58-ibm58v01',
+ 'ibm-valid-P57-ibm57v01','ibm-valid-P56-ibm56v10',
+ 'ibm-valid-P56-ibm56v09','ibm-valid-P56-ibm56v08',
+ 'ibm-valid-P56-ibm56v07','ibm-valid-P56-ibm56v06',
+ 'ibm-valid-P56-ibm56v05','ibm-valid-P56-ibm56v04',
+ 'ibm-valid-P56-ibm56v03','ibm-valid-P56-ibm56v02',
+ 'ibm-valid-P56-ibm56v01','ibm-valid-P55-ibm55v01',
+ 'ibm-valid-P54-ibm54v03','ibm-valid-P54-ibm54v02',
+ 'ibm-valid-P54-ibm54v01','ibm-valid-P52-ibm52v01',
+ 'ibm-valid-P51-ibm51v02','ibm-valid-P51-ibm51v01',
+ 'ibm-valid-P50-ibm50v01','ibm-valid-P49-ibm49v01',
+ 'ibm-valid-P47-ibm47v01','ibm-valid-P45-ibm45v01',
+ 'ibm-valid-P44-ibm44v01','ibm-valid-P43-ibm43v01',
+ 'ibm-valid-P42-ibm42v01','ibm-valid-P41-ibm41v01',
+ 'ibm-valid-P40-ibm40v01','ibm-valid-P39-ibm39v01',
+ 'ibm-valid-P38-ibm38v01','ibm-valid-P37-ibm37v01',
+ 'ibm-valid-P36-ibm36v01','ibm-valid-P35-ibm35v01',
+ 'ibm-valid-P34-ibm34v01','ibm-valid-P33-ibm33v01',
+ 'ibm-valid-P32-ibm32v04','ibm-valid-P32-ibm32v03',
+ 'ibm-valid-P32-ibm32v02','ibm-valid-P32-ibm32v01',
+ 'ibm-valid-P31-ibm31v01','ibm-valid-P30-ibm30v02',
+ 'ibm-valid-P30-ibm30v01','ibm-valid-P29-ibm29v02',
+ 'ibm-valid-P29-ibm29v01','ibm-valid-P28-ibm28v02',
+ 'ibm-valid-P28-ibm28v01','ibm-valid-P27-ibm27v03',
+ 'ibm-valid-P27-ibm27v02','ibm-valid-P27-ibm27v01',
+ 'ibm-valid-P26-ibm26v01','ibm-valid-P25-ibm25v04',
+ 'ibm-valid-P25-ibm25v03','ibm-valid-P25-ibm25v02',
+ 'ibm-valid-P25-ibm25v01','ibm-valid-P24-ibm24v02',
+ 'ibm-valid-P24-ibm24v01','ibm-valid-P23-ibm23v06',
+ 'ibm-valid-P23-ibm23v05','ibm-valid-P23-ibm23v04',
+ 'ibm-valid-P23-ibm23v03','ibm-valid-P23-ibm23v02',
+ 'ibm-valid-P23-ibm23v01','ibm-valid-P22-ibm22v07',
+ 'ibm-valid-P22-ibm22v06','ibm-valid-P22-ibm22v05',
+ 'ibm-valid-P22-ibm22v04','ibm-valid-P22-ibm22v03',
+ 'ibm-valid-P22-ibm22v02','ibm-valid-P22-ibm22v01',
+ 'ibm-valid-P21-ibm21v01','ibm-valid-P20-ibm20v02',
+ 'ibm-valid-P20-ibm20v01','ibm-valid-P19-ibm19v01',
+ 'ibm-valid-P18-ibm18v01','ibm-valid-P17-ibm17v01',
+ 'ibm-valid-P16-ibm16v03','ibm-valid-P16-ibm16v02',
+ 'ibm-valid-P16-ibm16v01','ibm-valid-P15-ibm15v04',
+ 'ibm-valid-P15-ibm15v03','ibm-valid-P15-ibm15v02',
+ 'ibm-valid-P15-ibm15v01','ibm-valid-P14-ibm14v03',
+ 'ibm-valid-P14-ibm14v02','ibm-valid-P14-ibm14v01',
+ 'ibm-valid-P13-ibm13v01','ibm-valid-P12-ibm12v04',
+ 'ibm-valid-P12-ibm12v03','ibm-valid-P12-ibm12v02',
+ 'ibm-valid-P12-ibm12v01','ibm-valid-P11-ibm11v04',
+ 'ibm-valid-P11-ibm11v03','ibm-valid-P11-ibm11v02',
+ 'ibm-valid-P11-ibm11v01','ibm-valid-P10-ibm10v08',
+ 'ibm-valid-P10-ibm10v07','ibm-valid-P10-ibm10v06',
+ 'ibm-valid-P10-ibm10v05','ibm-valid-P10-ibm10v04',
+ 'ibm-valid-P10-ibm10v03','ibm-valid-P10-ibm10v02',
+ 'ibm-valid-P10-ibm10v01','ibm-valid-P09-ibm09v05',
+ 'ibm-valid-P09-ibm09v04','ibm-valid-P09-ibm09v03',
+ 'ibm-valid-P09-ibm09v02','ibm-valid-P09-ibm09v01',
+ 'ibm-valid-P03-ibm03v01','ibm-valid-P02-ibm02v01',
+ 'ibm-valid-P01-ibm01v01'].
+
+%%----------------------------------------------------------------------
+%% Initializations
+%%----------------------------------------------------------------------
+
+init_per_suite(doc) ->
+ ["Starts the test suite"];
+init_per_suite(Config) ->
+ Dog=test_server:timetrap({minutes,10}),
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line ok=erl_tar:extract("ibm.tar.gz",[compressed]),
+ ?line ok=erl_tar:extract("japanese.tar.gz",[compressed]),
+ ?line ok=erl_tar:extract("oasis.tar.gz",[compressed]),
+ ?line ok=erl_tar:extract("sun.tar.gz",[compressed]),
+ ?line ok=erl_tar:extract("xmltest.tar.gz",[compressed]),
+ ?line ok = change_mode(["ibm","japanese","oasis",
+ "sun","xmltest"]),
+ [{watchdog, Dog}|Config].
+
+
+-ifndef(dont_rm_test_dirs).
+end_per_suite(doc) ->
+ ["Stops the test suite"];
+end_per_suite(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line ok=rm_files(["ibm","japanese","oasis","sun","xmltest"]),
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ lists:keydelete(watchdog,1,Config).
+
+-else.
+end_per_suite(doc) ->
+ ["Stops the test suite"];
+end_per_suite(Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ lists:keydelete(watchdog,1,Config).
+-endif.
+
+%% initialization before each testcase
+init_per_testcase(_TestCase,Config) ->
+ io:format("Config:~n~p",[Config]),
+ ?line {ok, _} = file:read_file_info(filename:join([?config(priv_dir,Config)])),
+ ?line code:add_patha(?config(priv_dir,Config)),
+ Dog=test_server:timetrap({minutes,10}),
+ [{watchdog, Dog}|Config].
+
+
+%% clean up after each testcase
+end_per_testcase(_Func,Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ ok.
+
+
+%%----------------------------------------------------------------------
+%% Test cases
+%%----------------------------------------------------------------------
+'v-pe02'(suite) -> [];
+'v-pe02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-pe02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-pe03'(suite) -> [];
+'v-pe03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-pe03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-pe00'(suite) -> [];
+'v-pe00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-pe00.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-lang06'(suite) -> [];
+'v-lang06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-lang06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-lang05'(suite) -> [];
+'v-lang05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-lang05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-lang04'(suite) -> [];
+'v-lang04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-lang04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-lang03'(suite) -> [];
+'v-lang03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-lang03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-lang02'(suite) -> [];
+'v-lang02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-lang02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-lang01'(suite) -> [];
+'v-lang01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-lang01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'v-sgml01'(suite) -> [];
+'v-sgml01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"v-sgml01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sa05'(suite) -> [];
+'sa05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sa05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sa04'(suite) -> [];
+'sa04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sa04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sa03'(suite) -> [];
+'sa03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sa03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sa02'(suite) -> [];
+'sa02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sa02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sa01'(suite) -> [];
+'sa01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sa01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'required00'(suite) -> [];
+'required00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"required00.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional'(suite) -> [];
+'optional'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'notation01'(suite) -> [];
+'notation01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"notation01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-sa04'(suite) -> [];
+'not-sa04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"not-sa04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-sa03'(suite) -> [];
+'not-sa03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"not-sa03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-sa02'(suite) -> [];
+'not-sa02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"not-sa02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-sa01'(suite) -> [];
+'not-sa01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"not-sa01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ext02'(suite) -> [];
+'ext02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"ext02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ext01'(suite) -> [];
+'ext01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"ext01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'element'(suite) -> [];
+'element'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"element.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'dtd01'(suite) -> [];
+'dtd01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"dtd01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'dtd00'(suite) -> [];
+'dtd00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"dtd00.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'pe01'(suite) -> [];
+'pe01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"pe01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'empty'(suite) -> [];
+'empty'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"empty.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'utf16l'(suite) -> [];
+'utf16l'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"utf16l.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'utf16b'(suite) -> [];
+'utf16b'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"utf16b.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr16'(suite) -> [];
+'attr16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr15'(suite) -> [];
+'attr15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr14'(suite) -> [];
+'attr14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr13'(suite) -> [];
+'attr13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr12'(suite) -> [];
+'attr12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr11'(suite) -> [];
+'attr11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr10'(suite) -> [];
+'attr10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr09'(suite) -> [];
+'attr09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr08'(suite) -> [];
+'attr08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr07'(suite) -> [];
+'attr07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr06'(suite) -> [];
+'attr06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr05'(suite) -> [];
+'attr05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr04'(suite) -> [];
+'attr04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr03'(suite) -> [];
+'attr03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr02'(suite) -> [];
+'attr02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attr01'(suite) -> [];
+'attr01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attr01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'root'(suite) -> [];
+'root'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"root.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-required02'(suite) -> [];
+'inv-required02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-required02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-required01'(suite) -> [];
+'inv-required01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-required01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-required00'(suite) -> [];
+'inv-required00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-required00.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional25'(suite) -> [];
+'optional25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional25.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional24'(suite) -> [];
+'optional24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional24.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional23'(suite) -> [];
+'optional23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional23.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional22'(suite) -> [];
+'optional22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional22.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional21'(suite) -> [];
+'optional21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional21.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional20'(suite) -> [];
+'optional20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional20.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional14'(suite) -> [];
+'optional14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional13'(suite) -> [];
+'optional13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional12'(suite) -> [];
+'optional12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional11'(suite) -> [];
+'optional11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional10'(suite) -> [];
+'optional10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional09'(suite) -> [];
+'optional09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional08'(suite) -> [];
+'optional08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional07'(suite) -> [];
+'optional07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional06'(suite) -> [];
+'optional06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional05'(suite) -> [];
+'optional05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional04'(suite) -> [];
+'optional04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional03'(suite) -> [];
+'optional03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional02'(suite) -> [];
+'optional02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'optional01'(suite) -> [];
+'optional01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"optional01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa14'(suite) -> [];
+'inv-not-sa14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa13'(suite) -> [];
+'inv-not-sa13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa12'(suite) -> [];
+'inv-not-sa12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa11'(suite) -> [];
+'inv-not-sa11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa10'(suite) -> [];
+'inv-not-sa10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa09'(suite) -> [];
+'inv-not-sa09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa08'(suite) -> [];
+'inv-not-sa08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa07'(suite) -> [];
+'inv-not-sa07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa06'(suite) -> [];
+'inv-not-sa06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa05'(suite) -> [];
+'inv-not-sa05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa04'(suite) -> [];
+'inv-not-sa04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa02'(suite) -> [];
+'inv-not-sa02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-not-sa01'(suite) -> [];
+'inv-not-sa01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-not-sa01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id09'(suite) -> [];
+'id09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id08'(suite) -> [];
+'id08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id07'(suite) -> [];
+'id07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id06'(suite) -> [];
+'id06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id05'(suite) -> [];
+'id05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id04'(suite) -> [];
+'id04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id03'(suite) -> [];
+'id03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id02'(suite) -> [];
+'id02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'id01'(suite) -> [];
+'id01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"id01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'el06'(suite) -> [];
+'el06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"el06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'el05'(suite) -> [];
+'el05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"el05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'el04'(suite) -> [];
+'el04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"el04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'el03'(suite) -> [];
+'el03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"el03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'el02'(suite) -> [];
+'el02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"el02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'el01'(suite) -> [];
+'el01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"el01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-dtd03'(suite) -> [];
+'inv-dtd03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-dtd03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-dtd02'(suite) -> [];
+'inv-dtd02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-dtd02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'inv-dtd01'(suite) -> [];
+'inv-dtd01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"inv-dtd01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'sgml13'(suite) -> [];
+'sgml13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml12'(suite) -> [];
+'sgml12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml11'(suite) -> [];
+'sgml11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml10'(suite) -> [];
+'sgml10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml09'(suite) -> [];
+'sgml09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml08'(suite) -> [];
+'sgml08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml07'(suite) -> [];
+'sgml07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml06'(suite) -> [];
+'sgml06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml05'(suite) -> [];
+'sgml05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml04'(suite) -> [];
+'sgml04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml03'(suite) -> [];
+'sgml03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml02'(suite) -> [];
+'sgml02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'sgml01'(suite) -> [];
+'sgml01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"sgml01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'pubid05'(suite) -> [];
+'pubid05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"pubid05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'pubid04'(suite) -> [];
+'pubid04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"pubid04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'pubid03'(suite) -> [];
+'pubid03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"pubid03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'pubid02'(suite) -> [];
+'pubid02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"pubid02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'pubid01'(suite) -> [];
+'pubid01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"pubid01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'pi'(suite) -> [];
+'pi'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"pi.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'encoding07'(suite) -> [];
+'encoding07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"encoding07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'encoding06'(suite) -> [];
+'encoding06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"encoding06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'encoding05'(suite) -> [];
+'encoding05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"encoding05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'encoding04'(suite) -> [];
+'encoding04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"encoding04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'encoding03'(suite) -> [];
+'encoding03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"encoding03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'encoding02'(suite) -> [];
+'encoding02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"encoding02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'encoding01'(suite) -> [];
+'encoding01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"encoding01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'element04'(suite) -> [];
+'element04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"element04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'element03'(suite) -> [];
+'element03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"element03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'element02'(suite) -> [];
+'element02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"element02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'element01'(suite) -> [];
+'element01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"element01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'element00'(suite) -> [];
+'element00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"element00.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'dtd07'(suite) -> [];
+'dtd07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"dtd07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'dtd05'(suite) -> [];
+'dtd05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"dtd05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'dtd04'(suite) -> [];
+'dtd04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"dtd04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'dtd03'(suite) -> [];
+'dtd03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"dtd03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'dtd02'(suite) -> [];
+'dtd02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"dtd02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'nwf-dtd01'(suite) -> [];
+'nwf-dtd01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"nwf-dtd01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'nwf-dtd00'(suite) -> [];
+'nwf-dtd00'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"nwf-dtd00.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'decl01'(suite) -> [];
+'decl01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"decl01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'content03'(suite) -> [];
+'content03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"content03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'content02'(suite) -> [];
+'content02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"content02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'content01'(suite) -> [];
+'content01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"content01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'cond02'(suite) -> [];
+'cond02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"cond02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'cond01'(suite) -> [];
+'cond01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"cond01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist11'(suite) -> [];
+'attlist11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist10'(suite) -> [];
+'attlist10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist09'(suite) -> [];
+'attlist09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist08'(suite) -> [];
+'attlist08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist07'(suite) -> [];
+'attlist07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist06'(suite) -> [];
+'attlist06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist05'(suite) -> [];
+'attlist05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist04'(suite) -> [];
+'attlist04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist03'(suite) -> [];
+'attlist03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist02'(suite) -> [];
+'attlist02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'attlist01'(suite) -> [];
+'attlist01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"attlist01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa03'(suite) -> [];
+'not-wf-sa03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"not-wf-sa03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'uri01'(suite) -> [];
+'uri01'(doc) ->
+ ["URI fragments disallowed"];
+'uri01'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),sun,"uri01.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["URI fragments disallowed"]}.
+
+%%----------------------------------------------------------------------
+
+'valid-ext-sa-014'(suite) -> [];
+'valid-ext-sa-014'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-014.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-013'(suite) -> [];
+'valid-ext-sa-013'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-013.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-012'(suite) -> [];
+'valid-ext-sa-012'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-012.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-011'(suite) -> [];
+'valid-ext-sa-011'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-011.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-009'(suite) -> [];
+'valid-ext-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-009.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-008'(suite) -> [];
+'valid-ext-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-008.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-007'(suite) -> [];
+'valid-ext-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-007.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-006'(suite) -> [];
+'valid-ext-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-006.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-005'(suite) -> [];
+'valid-ext-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-005.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-004'(suite) -> [];
+'valid-ext-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-004.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-003'(suite) -> [];
+'valid-ext-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-003.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-002'(suite) -> [];
+'valid-ext-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-002.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-ext-sa-001'(suite) -> [];
+'valid-ext-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-ext-sa-001.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-031'(suite) -> [];
+'valid-not-sa-031'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-031.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-030'(suite) -> [];
+'valid-not-sa-030'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-030.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-029'(suite) -> [];
+'valid-not-sa-029'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-029.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-028'(suite) -> [];
+'valid-not-sa-028'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-028.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-027'(suite) -> [];
+'valid-not-sa-027'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-027.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-026'(suite) -> [];
+'valid-not-sa-026'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-026.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-025'(suite) -> [];
+'valid-not-sa-025'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-025.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-024'(suite) -> [];
+'valid-not-sa-024'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-024.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-023'(suite) -> [];
+'valid-not-sa-023'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-023.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-021'(suite) -> [];
+'valid-not-sa-021'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-021.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-020'(suite) -> [];
+'valid-not-sa-020'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-020.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-019'(suite) -> [];
+'valid-not-sa-019'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-019.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-018'(suite) -> [];
+'valid-not-sa-018'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-018.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-017'(suite) -> [];
+'valid-not-sa-017'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-017.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-016'(suite) -> [];
+'valid-not-sa-016'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-016.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-015'(suite) -> [];
+'valid-not-sa-015'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-015.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-014'(suite) -> [];
+'valid-not-sa-014'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-014.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-013'(suite) -> [];
+'valid-not-sa-013'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-013.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-012'(suite) -> [];
+'valid-not-sa-012'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-012.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-011'(suite) -> [];
+'valid-not-sa-011'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-011.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-010'(suite) -> [];
+'valid-not-sa-010'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-010.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-009'(suite) -> [];
+'valid-not-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-009.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-008'(suite) -> [];
+'valid-not-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-008.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-007'(suite) -> [];
+'valid-not-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-007.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-006'(suite) -> [];
+'valid-not-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-006.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-005'(suite) -> [];
+'valid-not-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-005.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-004'(suite) -> [];
+'valid-not-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-004.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-003'(suite) -> [];
+'valid-not-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-003.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-002'(suite) -> [];
+'valid-not-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-002.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-not-sa-001'(suite) -> [];
+'valid-not-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-not-sa-001.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-119'(suite) -> [];
+'valid-sa-119'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-119.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-118'(suite) -> [];
+'valid-sa-118'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-118.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-117'(suite) -> [];
+'valid-sa-117'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-117.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-116'(suite) -> [];
+'valid-sa-116'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-116.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-115'(suite) -> [];
+'valid-sa-115'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-115.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-114'(suite) -> [];
+'valid-sa-114'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-114.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-113'(suite) -> [];
+'valid-sa-113'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-113.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-112'(suite) -> [];
+'valid-sa-112'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-112.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-111'(suite) -> [];
+'valid-sa-111'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-111.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-110'(suite) -> [];
+'valid-sa-110'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-110.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-109'(suite) -> [];
+'valid-sa-109'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-109.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-108'(suite) -> [];
+'valid-sa-108'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-108.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-107'(suite) -> [];
+'valid-sa-107'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-107.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-106'(suite) -> [];
+'valid-sa-106'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-106.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-105'(suite) -> [];
+'valid-sa-105'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-105.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-104'(suite) -> [];
+'valid-sa-104'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-104.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-103'(suite) -> [];
+'valid-sa-103'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-103.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-102'(suite) -> [];
+'valid-sa-102'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-102.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-101'(suite) -> [];
+'valid-sa-101'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-101.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-100'(suite) -> [];
+'valid-sa-100'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-100.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["recursive xml spec"]}.
+
+'valid-sa-099'(suite) -> [];
+'valid-sa-099'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-099.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-098'(suite) -> [];
+'valid-sa-098'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-098.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-097'(suite) -> [];
+'valid-sa-097'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-097.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-096'(suite) -> [];
+'valid-sa-096'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-096.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-095'(suite) -> [];
+'valid-sa-095'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-095.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-094'(suite) -> [];
+'valid-sa-094'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-094.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-093'(suite) -> [];
+'valid-sa-093'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-093.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-092'(suite) -> [];
+'valid-sa-092'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-092.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-091'(suite) -> [];
+'valid-sa-091'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-091.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-090'(suite) -> [];
+'valid-sa-090'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-090.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-089'(suite) -> [];
+'valid-sa-089'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-089.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-088'(suite) -> [];
+'valid-sa-088'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-088.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-087'(suite) -> [];
+'valid-sa-087'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-087.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-086'(suite) -> [];
+'valid-sa-086'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-086.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-085'(suite) -> [];
+'valid-sa-085'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-085.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-084'(suite) -> [];
+'valid-sa-084'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-084.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-083'(suite) -> [];
+'valid-sa-083'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-083.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-082'(suite) -> [];
+'valid-sa-082'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-082.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-081'(suite) -> [];
+'valid-sa-081'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-081.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-080'(suite) -> [];
+'valid-sa-080'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-080.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-079'(suite) -> [];
+'valid-sa-079'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-079.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-078'(suite) -> [];
+'valid-sa-078'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-078.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-077'(suite) -> [];
+'valid-sa-077'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-077.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-076'(suite) -> [];
+'valid-sa-076'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-076.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-075'(suite) -> [];
+'valid-sa-075'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-075.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-074'(suite) -> [];
+'valid-sa-074'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-074.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-073'(suite) -> [];
+'valid-sa-073'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-073.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-072'(suite) -> [];
+'valid-sa-072'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-072.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-071'(suite) -> [];
+'valid-sa-071'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-071.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-070'(suite) -> [];
+'valid-sa-070'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-070.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-069'(suite) -> [];
+'valid-sa-069'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-069.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-068'(suite) -> [];
+'valid-sa-068'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-068.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-067'(suite) -> [];
+'valid-sa-067'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-067.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-066'(suite) -> [];
+'valid-sa-066'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-066.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-065'(suite) -> [];
+'valid-sa-065'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-065.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-064'(suite) -> [];
+'valid-sa-064'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-064.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle UTF-8 encoded names, when they are converted to atoms"]}.
+
+'valid-sa-063'(suite) -> [];
+'valid-sa-063'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-063.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle Unicode integer (UTF-8) encoded names, when they are converted to atoms"]}.
+
+'valid-sa-062'(suite) -> [];
+'valid-sa-062'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-062.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-061'(suite) -> [];
+'valid-sa-061'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-061.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-060'(suite) -> [];
+'valid-sa-060'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-060.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-059'(suite) -> [];
+'valid-sa-059'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-059.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-058'(suite) -> [];
+'valid-sa-058'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-058.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-057'(suite) -> [];
+'valid-sa-057'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-057.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-056'(suite) -> [];
+'valid-sa-056'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-056.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-055'(suite) -> [];
+'valid-sa-055'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-055.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-054'(suite) -> [];
+'valid-sa-054'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-054.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-053'(suite) -> [];
+'valid-sa-053'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-053.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-052'(suite) -> [];
+'valid-sa-052'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-052.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-051'(suite) -> [];
+'valid-sa-051'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-051.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle Unicode integer (UTF-16) encoded names, when they are converted to atoms"]}.
+'valid-sa-050'(suite) -> [];
+'valid-sa-050'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-050.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-049'(suite) -> [];
+'valid-sa-049'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-049.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-048'(suite) -> [];
+'valid-sa-048'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-048.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-047'(suite) -> [];
+'valid-sa-047'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-047.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-046'(suite) -> [];
+'valid-sa-046'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-046.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-045'(suite) -> [];
+'valid-sa-045'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-045.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-044'(suite) -> [];
+'valid-sa-044'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-044.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-043'(suite) -> [];
+'valid-sa-043'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-043.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-042'(suite) -> [];
+'valid-sa-042'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-042.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-041'(suite) -> [];
+'valid-sa-041'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-041.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-040'(suite) -> [];
+'valid-sa-040'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-040.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-039'(suite) -> [];
+'valid-sa-039'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-039.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-038'(suite) -> [];
+'valid-sa-038'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-038.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-037'(suite) -> [];
+'valid-sa-037'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-037.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-036'(suite) -> [];
+'valid-sa-036'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-036.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-035'(suite) -> [];
+'valid-sa-035'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-035.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-034'(suite) -> [];
+'valid-sa-034'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-034.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-033'(suite) -> [];
+'valid-sa-033'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-033.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-032'(suite) -> [];
+'valid-sa-032'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-032.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-031'(suite) -> [];
+'valid-sa-031'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-031.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-030'(suite) -> [];
+'valid-sa-030'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-030.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-029'(suite) -> [];
+'valid-sa-029'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-029.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-028'(suite) -> [];
+'valid-sa-028'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-028.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-027'(suite) -> [];
+'valid-sa-027'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-027.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-026'(suite) -> [];
+'valid-sa-026'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-026.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-025'(suite) -> [];
+'valid-sa-025'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-025.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-024'(suite) -> [];
+'valid-sa-024'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-024.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-023'(suite) -> [];
+'valid-sa-023'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-023.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-022'(suite) -> [];
+'valid-sa-022'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-022.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-021'(suite) -> [];
+'valid-sa-021'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-021.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-020'(suite) -> [];
+'valid-sa-020'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-020.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-019'(suite) -> [];
+'valid-sa-019'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-019.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-018'(suite) -> [];
+'valid-sa-018'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-018.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-017'(suite) -> [];
+'valid-sa-017'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-017.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-016'(suite) -> [];
+'valid-sa-016'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-016.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-015'(suite) -> [];
+'valid-sa-015'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-015.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-014'(suite) -> [];
+'valid-sa-014'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-014.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-013'(suite) -> [];
+'valid-sa-013'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-013.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-012'(suite) -> [];
+'valid-sa-012'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-012.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-011'(suite) -> [];
+'valid-sa-011'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-011.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-010'(suite) -> [];
+'valid-sa-010'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-010.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-009'(suite) -> [];
+'valid-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-009.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-008'(suite) -> [];
+'valid-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-008.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-007'(suite) -> [];
+'valid-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-007.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-006'(suite) -> [];
+'valid-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-006.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-005'(suite) -> [];
+'valid-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-005.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-004'(suite) -> [];
+'valid-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-004.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-003'(suite) -> [];
+'valid-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-003.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-002'(suite) -> [];
+'valid-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-002.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'valid-sa-001'(suite) -> [];
+'valid-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"valid-sa-001.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'invalid-not-sa-022'(suite) -> [];
+'invalid-not-sa-022'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"invalid-not-sa-022.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'invalid--006'(suite) -> [];
+'invalid--006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"invalid--006.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'invalid--005'(suite) -> [];
+'invalid--005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"invalid--005.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'invalid--002'(suite) -> [];
+'invalid--002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"invalid--002.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-ext-sa-003'(suite) -> [];
+'not-wf-ext-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-ext-sa-003.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-ext-sa-002'(suite) -> [];
+'not-wf-ext-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-ext-sa-002.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-ext-sa-001'(suite) -> [];
+'not-wf-ext-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-ext-sa-001.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-009'(suite) -> [];
+'not-wf-not-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-009.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-008'(suite) -> [];
+'not-wf-not-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-008.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-007'(suite) -> [];
+'not-wf-not-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-007.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-006'(suite) -> [];
+'not-wf-not-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-006.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-005'(suite) -> [];
+'not-wf-not-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-005.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-004'(suite) -> [];
+'not-wf-not-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-004.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-003'(suite) -> [];
+'not-wf-not-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-003.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-002'(suite) -> [];
+'not-wf-not-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-002.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-not-sa-001'(suite) -> [];
+'not-wf-not-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-not-sa-001.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-186'(suite) -> [];
+'not-wf-sa-186'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-186.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-185'(suite) -> [];
+'not-wf-sa-185'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-185.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-184'(suite) -> [];
+'not-wf-sa-184'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-184.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-183'(suite) -> [];
+'not-wf-sa-183'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-183.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-182'(suite) -> [];
+'not-wf-sa-182'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-182.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-181'(suite) -> [];
+'not-wf-sa-181'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-181.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-180'(suite) -> [];
+'not-wf-sa-180'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-180.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-179'(suite) -> [];
+'not-wf-sa-179'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-179.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-178'(suite) -> [];
+'not-wf-sa-178'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-178.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-177'(suite) -> [];
+'not-wf-sa-177'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-177.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["do not support UTF-8 (only Latin-1), therefore not ","able to check the illegal FFFF/FFFE (Unicode) characters"]}.
+
+'not-wf-sa-176'(suite) -> [];
+'not-wf-sa-176'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-176.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-175'(suite) -> [];
+'not-wf-sa-175'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-175.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["do not support UTF-8 (only Latin-1), therefore not ","able to check the illegal FFFF/FFFE (Unicode) characters"]}.
+
+'not-wf-sa-174'(suite) -> [];
+'not-wf-sa-174'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-174.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["do not support UTF-8 (only Latin-1), therefore not ","able to check the illegal FFFF/FFFE (Unicode) characters"]}.
+
+'not-wf-sa-173'(suite) -> [];
+'not-wf-sa-173'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-173.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["do not support UTF-8 (only Latin-1), therefore not ","able to check the illegal FFFF/FFFE (Unicode) characters"]}.
+
+'not-wf-sa-172'(suite) -> [];
+'not-wf-sa-172'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-172.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["do not support UTF-8 (only Latin-1), therefore not ","able to check the illegal FFFF/FFFE (Unicode) characters"]}.
+
+'not-wf-sa-171'(suite) -> [];
+'not-wf-sa-171'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-171.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["do not support UTF-8 (only Latin-1), therefore not ","able to check the illegal FFFF/FFFE (Unicode) characters"]}.
+
+'not-wf-sa-170'(suite) -> [];
+'not-wf-sa-170'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-170.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["UTF-8 encoding of UCS-4 characters"]}.
+
+'not-wf-sa-169'(suite) -> [];
+'not-wf-sa-169'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-169.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["UTF-8 encoding of an illegal unpaired surrogate (DC00)"]}.
+
+'not-wf-sa-168'(suite) -> [];
+'not-wf-sa-168'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-168.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["UTF-8 encoding of an illegal unpaired surrogate (D800)"]}.
+
+'not-wf-sa-167'(suite) -> [];
+'not-wf-sa-167'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-167.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["UTF-8 encoding of an illegal FFFE"]}.
+
+'not-wf-sa-166'(suite) -> [];
+'not-wf-sa-166'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-166.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["UTF-8 encoding of an illegal FFFE"]}.
+
+'not-wf-sa-165'(suite) -> [];
+'not-wf-sa-165'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-165.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-164'(suite) -> [];
+'not-wf-sa-164'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-164.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-163'(suite) -> [];
+'not-wf-sa-163'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-163.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-162'(suite) -> [];
+'not-wf-sa-162'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-162.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-161'(suite) -> [];
+'not-wf-sa-161'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-161.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-160'(suite) -> [];
+'not-wf-sa-160'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-160.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-159'(suite) -> [];
+'not-wf-sa-159'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-159.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-158'(suite) -> [];
+'not-wf-sa-158'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-158.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-157'(suite) -> [];
+'not-wf-sa-157'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-157.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-156'(suite) -> [];
+'not-wf-sa-156'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-156.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-155'(suite) -> [];
+'not-wf-sa-155'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-155.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-154'(suite) -> [];
+'not-wf-sa-154'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-154.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-153'(suite) -> [];
+'not-wf-sa-153'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-153.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-152'(suite) -> [];
+'not-wf-sa-152'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-152.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-151'(suite) -> [];
+'not-wf-sa-151'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-151.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["don't bother wath's in the Misc production"]}.
+
+'not-wf-sa-150'(suite) -> [];
+'not-wf-sa-150'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-150.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-149'(suite) -> [];
+'not-wf-sa-149'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-149.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-148'(suite) -> [];
+'not-wf-sa-148'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-148.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-147'(suite) -> [];
+'not-wf-sa-147'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-147.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-146'(suite) -> [];
+'not-wf-sa-146'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-146.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-145'(suite) -> [];
+'not-wf-sa-145'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-145.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-144'(suite) -> [];
+'not-wf-sa-144'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-144.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-143'(suite) -> [];
+'not-wf-sa-143'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-143.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-142'(suite) -> [];
+'not-wf-sa-142'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-142.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-141'(suite) -> [];
+'not-wf-sa-141'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-141.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-140'(suite) -> [];
+'not-wf-sa-140'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-140.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-139'(suite) -> [];
+'not-wf-sa-139'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-139.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-138'(suite) -> [];
+'not-wf-sa-138'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-138.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-137'(suite) -> [];
+'not-wf-sa-137'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-137.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-136'(suite) -> [];
+'not-wf-sa-136'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-136.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-135'(suite) -> [];
+'not-wf-sa-135'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-135.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-134'(suite) -> [];
+'not-wf-sa-134'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-134.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-133'(suite) -> [];
+'not-wf-sa-133'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-133.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-132'(suite) -> [];
+'not-wf-sa-132'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-132.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-131'(suite) -> [];
+'not-wf-sa-131'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-131.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-130'(suite) -> [];
+'not-wf-sa-130'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-130.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-129'(suite) -> [];
+'not-wf-sa-129'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-129.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-128'(suite) -> [];
+'not-wf-sa-128'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-128.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-127'(suite) -> [];
+'not-wf-sa-127'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-127.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-126'(suite) -> [];
+'not-wf-sa-126'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-126.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-125'(suite) -> [];
+'not-wf-sa-125'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-125.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-124'(suite) -> [];
+'not-wf-sa-124'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-124.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-123'(suite) -> [];
+'not-wf-sa-123'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-123.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-122'(suite) -> [];
+'not-wf-sa-122'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-122.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-121'(suite) -> [];
+'not-wf-sa-121'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-121.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-120'(suite) -> [];
+'not-wf-sa-120'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-120.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-119'(suite) -> [];
+'not-wf-sa-119'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-119.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-118'(suite) -> [];
+'not-wf-sa-118'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-118.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-117'(suite) -> [];
+'not-wf-sa-117'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-117.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-116'(suite) -> [];
+'not-wf-sa-116'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-116.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-115'(suite) -> [];
+'not-wf-sa-115'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-115.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-114'(suite) -> [];
+'not-wf-sa-114'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-114.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-113'(suite) -> [];
+'not-wf-sa-113'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-113.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-112'(suite) -> [];
+'not-wf-sa-112'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-112.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-111'(suite) -> [];
+'not-wf-sa-111'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-111.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-110'(suite) -> [];
+'not-wf-sa-110'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-110.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-109'(suite) -> [];
+'not-wf-sa-109'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-109.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-108'(suite) -> [];
+'not-wf-sa-108'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-108.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-107'(suite) -> [];
+'not-wf-sa-107'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-107.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-106'(suite) -> [];
+'not-wf-sa-106'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-106.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-105'(suite) -> [];
+'not-wf-sa-105'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-105.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-104'(suite) -> [];
+'not-wf-sa-104'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-104.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-103'(suite) -> [];
+'not-wf-sa-103'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-103.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-102'(suite) -> [];
+'not-wf-sa-102'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-102.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-101'(suite) -> [];
+'not-wf-sa-101'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-101.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-100'(suite) -> [];
+'not-wf-sa-100'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-100.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-099'(suite) -> [];
+'not-wf-sa-099'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-099.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-098'(suite) -> [];
+'not-wf-sa-098'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-098.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-097'(suite) -> [];
+'not-wf-sa-097'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-097.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-096'(suite) -> [];
+'not-wf-sa-096'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-096.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-095'(suite) -> [];
+'not-wf-sa-095'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-095.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-094'(suite) -> [];
+'not-wf-sa-094'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-094.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-093'(suite) -> [];
+'not-wf-sa-093'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-093.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-092'(suite) -> [];
+'not-wf-sa-092'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-092.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-091'(suite) -> [];
+'not-wf-sa-091'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-091.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-090'(suite) -> [];
+'not-wf-sa-090'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-090.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-089'(suite) -> [];
+'not-wf-sa-089'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-089.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-088'(suite) -> [];
+'not-wf-sa-088'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-088.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-087'(suite) -> [];
+'not-wf-sa-087'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-087.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-086'(suite) -> [];
+'not-wf-sa-086'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-086.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-085'(suite) -> [];
+'not-wf-sa-085'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-085.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-084'(suite) -> [];
+'not-wf-sa-084'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-084.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-083'(suite) -> [];
+'not-wf-sa-083'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-083.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-082'(suite) -> [];
+'not-wf-sa-082'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-082.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-081'(suite) -> [];
+'not-wf-sa-081'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-081.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-080'(suite) -> [];
+'not-wf-sa-080'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-080.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-079'(suite) -> [];
+'not-wf-sa-079'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-079.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-078'(suite) -> [];
+'not-wf-sa-078'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-078.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-077'(suite) -> [];
+'not-wf-sa-077'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-077.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-076'(suite) -> [];
+'not-wf-sa-076'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-076.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-075'(suite) -> [];
+'not-wf-sa-075'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-075.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-074'(suite) -> [];
+'not-wf-sa-074'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-074.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-073'(suite) -> [];
+'not-wf-sa-073'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-073.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-072'(suite) -> [];
+'not-wf-sa-072'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-072.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-071'(suite) -> [];
+'not-wf-sa-071'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-071.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-070'(suite) -> [];
+'not-wf-sa-070'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-070.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-069'(suite) -> [];
+'not-wf-sa-069'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-069.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-068'(suite) -> [];
+'not-wf-sa-068'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-068.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-067'(suite) -> [];
+'not-wf-sa-067'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-067.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-066'(suite) -> [];
+'not-wf-sa-066'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-066.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-065'(suite) -> [];
+'not-wf-sa-065'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-065.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-064'(suite) -> [];
+'not-wf-sa-064'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-064.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-063'(suite) -> [];
+'not-wf-sa-063'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-063.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-062'(suite) -> [];
+'not-wf-sa-062'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-062.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-061'(suite) -> [];
+'not-wf-sa-061'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-061.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-060'(suite) -> [];
+'not-wf-sa-060'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-060.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-059'(suite) -> [];
+'not-wf-sa-059'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-059.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-058'(suite) -> [];
+'not-wf-sa-058'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-058.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-057'(suite) -> [];
+'not-wf-sa-057'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-057.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-056'(suite) -> [];
+'not-wf-sa-056'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-056.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-055'(suite) -> [];
+'not-wf-sa-055'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-055.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-054'(suite) -> [];
+'not-wf-sa-054'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-054.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-053'(suite) -> [];
+'not-wf-sa-053'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-053.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-052'(suite) -> [];
+'not-wf-sa-052'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-052.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-051'(suite) -> [];
+'not-wf-sa-051'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-051.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-050'(suite) -> [];
+'not-wf-sa-050'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-050.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-049'(suite) -> [];
+'not-wf-sa-049'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-049.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-048'(suite) -> [];
+'not-wf-sa-048'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-048.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-047'(suite) -> [];
+'not-wf-sa-047'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-047.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-046'(suite) -> [];
+'not-wf-sa-046'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-046.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-045'(suite) -> [];
+'not-wf-sa-045'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-045.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-044'(suite) -> [];
+'not-wf-sa-044'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-044.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-043'(suite) -> [];
+'not-wf-sa-043'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-043.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-042'(suite) -> [];
+'not-wf-sa-042'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-042.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-041'(suite) -> [];
+'not-wf-sa-041'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-041.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-040'(suite) -> [];
+'not-wf-sa-040'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-040.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-039'(suite) -> [];
+'not-wf-sa-039'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-039.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-038'(suite) -> [];
+'not-wf-sa-038'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-038.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-037'(suite) -> [];
+'not-wf-sa-037'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-037.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-036'(suite) -> [];
+'not-wf-sa-036'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-036.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-035'(suite) -> [];
+'not-wf-sa-035'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-035.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-034'(suite) -> [];
+'not-wf-sa-034'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-034.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-033'(suite) -> [];
+'not-wf-sa-033'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-033.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-032'(suite) -> [];
+'not-wf-sa-032'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-032.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-031'(suite) -> [];
+'not-wf-sa-031'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-031.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-030'(suite) -> [];
+'not-wf-sa-030'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-030.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-029'(suite) -> [];
+'not-wf-sa-029'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-029.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-028'(suite) -> [];
+'not-wf-sa-028'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-028.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-027'(suite) -> [];
+'not-wf-sa-027'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-027.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-026'(suite) -> [];
+'not-wf-sa-026'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-026.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-025'(suite) -> [];
+'not-wf-sa-025'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-025.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-024'(suite) -> [];
+'not-wf-sa-024'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-024.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-023'(suite) -> [];
+'not-wf-sa-023'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-023.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-022'(suite) -> [];
+'not-wf-sa-022'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-022.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-021'(suite) -> [];
+'not-wf-sa-021'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-021.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-020'(suite) -> [];
+'not-wf-sa-020'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-020.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-019'(suite) -> [];
+'not-wf-sa-019'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-019.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-018'(suite) -> [];
+'not-wf-sa-018'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-018.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-017'(suite) -> [];
+'not-wf-sa-017'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-017.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-016'(suite) -> [];
+'not-wf-sa-016'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-016.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-015'(suite) -> [];
+'not-wf-sa-015'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-015.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-014'(suite) -> [];
+'not-wf-sa-014'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-014.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-013'(suite) -> [];
+'not-wf-sa-013'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-013.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-012'(suite) -> [];
+'not-wf-sa-012'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-012.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-011'(suite) -> [];
+'not-wf-sa-011'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-011.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-010'(suite) -> [];
+'not-wf-sa-010'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-010.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-009'(suite) -> [];
+'not-wf-sa-009'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-009.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-008'(suite) -> [];
+'not-wf-sa-008'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-008.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-007'(suite) -> [];
+'not-wf-sa-007'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-007.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-006'(suite) -> [];
+'not-wf-sa-006'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-006.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-005'(suite) -> [];
+'not-wf-sa-005'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-005.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-004'(suite) -> [];
+'not-wf-sa-004'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-004.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-003'(suite) -> [];
+'not-wf-sa-003'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-003.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-002'(suite) -> [];
+'not-wf-sa-002'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-002.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'not-wf-sa-001'(suite) -> [];
+'not-wf-sa-001'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),xmltest,"not-wf-sa-001.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'japanese-weekly-utf-8'(suite) -> [];
+'japanese-weekly-utf-8'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-weekly-utf-8.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["UTF-8 encoding of japanese characters"]}.
+
+'japanese-weekly-utf-16'(suite) -> [];
+'japanese-weekly-utf-16'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-weekly-utf-16.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Test support for UTF-16 encoding, and XML names which contain Japanese characters."]}.
+
+'japanese-weekly-shift_jis'(suite) -> [];
+'japanese-weekly-shift_jis'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-weekly-shift_jis.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'japanese-weekly-little'(suite) -> [];
+'japanese-weekly-little'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-weekly-little.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Test support for little-endian UTF-16 encoding, and XML names which contain Japanese characters."]}.
+
+'japanese-weekly-iso-2022-jp'(suite) -> [];
+'japanese-weekly-iso-2022-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-weekly-iso-2022-jp.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'japanese-weekly-euc-jp'(suite) -> [];
+'japanese-weekly-euc-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-weekly-euc-jp.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'japanese-pr-xml-utf-8'(suite) -> [];
+'japanese-pr-xml-utf-8'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-pr-xml-utf-8.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Test support for UTF-8 text which relies on Japanese characters"]}.
+
+'japanese-pr-xml-utf-16'(suite) -> [];
+'japanese-pr-xml-utf-16'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-pr-xml-utf-16.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Test support UTF-16 text which relies on Japanese characters."]}.
+
+'japanese-pr-xml-shift_jis'(suite) -> [];
+'japanese-pr-xml-shift_jis'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-pr-xml-shift_jis.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'japanese-pr-xml-little'(suite) -> [];
+'japanese-pr-xml-little'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-pr-xml-little.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Test support for little-endian UTF-16 text which relies on Japanese characters."]}.
+
+'japanese-pr-xml-iso-2022-jp'(suite) -> [];
+'japanese-pr-xml-iso-2022-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-pr-xml-iso-2022-jp.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'japanese-pr-xml-euc-jp'(suite) -> [];
+'japanese-pr-xml-euc-jp'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),japanese,"japanese-pr-xml-euc-jp.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'o-p11pass1'(suite) -> [];
+'o-p11pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p11pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p76fail4'(suite) -> [];
+'o-p76fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p76fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p76fail3'(suite) -> [];
+'o-p76fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p76fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p76fail2'(suite) -> [];
+'o-p76fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p76fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p76fail1'(suite) -> [];
+'o-p76fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p76fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p75fail6'(suite) -> [];
+'o-p75fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p75fail6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p75fail5'(suite) -> [];
+'o-p75fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p75fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p75fail4'(suite) -> [];
+'o-p75fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p75fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p75fail3'(suite) -> [];
+'o-p75fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p75fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p75fail2'(suite) -> [];
+'o-p75fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p75fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p75fail1'(suite) -> [];
+'o-p75fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p75fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p74fail3'(suite) -> [];
+'o-p74fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p74fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p74fail2'(suite) -> [];
+'o-p74fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p74fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p74fail1'(suite) -> [];
+'o-p74fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p74fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p73fail5'(suite) -> [];
+'o-p73fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p73fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p73fail4'(suite) -> [];
+'o-p73fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p73fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p73fail3'(suite) -> [];
+'o-p73fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p73fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p73fail2'(suite) -> [];
+'o-p73fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p73fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p73fail1'(suite) -> [];
+'o-p73fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p73fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p72fail4'(suite) -> [];
+'o-p72fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p72fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p72fail3'(suite) -> [];
+'o-p72fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p72fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p72fail2'(suite) -> [];
+'o-p72fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p72fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p72fail1'(suite) -> [];
+'o-p72fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p72fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p71fail4'(suite) -> [];
+'o-p71fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p71fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p71fail3'(suite) -> [];
+'o-p71fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p71fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p71fail2'(suite) -> [];
+'o-p71fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p71fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p71fail1'(suite) -> [];
+'o-p71fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p71fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p70fail1'(suite) -> [];
+'o-p70fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p70fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p69fail3'(suite) -> [];
+'o-p69fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p69fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p69fail2'(suite) -> [];
+'o-p69fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p69fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p69fail1'(suite) -> [];
+'o-p69fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p69fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p68fail3'(suite) -> [];
+'o-p68fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p68fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p68fail2'(suite) -> [];
+'o-p68fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p68fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p68fail1'(suite) -> [];
+'o-p68fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p68fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p66fail6'(suite) -> [];
+'o-p66fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p66fail6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p66fail5'(suite) -> [];
+'o-p66fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p66fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p66fail4'(suite) -> [];
+'o-p66fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p66fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p66fail3'(suite) -> [];
+'o-p66fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p66fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p66fail2'(suite) -> [];
+'o-p66fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p66fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p66fail1'(suite) -> [];
+'o-p66fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p66fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p64fail2'(suite) -> [];
+'o-p64fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p64fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p64fail1'(suite) -> [];
+'o-p64fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p64fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p63fail2'(suite) -> [];
+'o-p63fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p63fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p63fail1'(suite) -> [];
+'o-p63fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p63fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p62fail2'(suite) -> [];
+'o-p62fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p62fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p62fail1'(suite) -> [];
+'o-p62fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p62fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p61fail1'(suite) -> [];
+'o-p61fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p61fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p60fail5'(suite) -> [];
+'o-p60fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p60fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p60fail4'(suite) -> [];
+'o-p60fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p60fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p60fail3'(suite) -> [];
+'o-p60fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p60fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p60fail2'(suite) -> [];
+'o-p60fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p60fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p60fail1'(suite) -> [];
+'o-p60fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p60fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p59fail3'(suite) -> [];
+'o-p59fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p59fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p59fail2'(suite) -> [];
+'o-p59fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p59fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p59fail1'(suite) -> [];
+'o-p59fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p59fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail8'(suite) -> [];
+'o-p58fail8'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail8.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail7'(suite) -> [];
+'o-p58fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail7.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail6'(suite) -> [];
+'o-p58fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail5'(suite) -> [];
+'o-p58fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail4'(suite) -> [];
+'o-p58fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail3'(suite) -> [];
+'o-p58fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail2'(suite) -> [];
+'o-p58fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58fail1'(suite) -> [];
+'o-p58fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p57fail1'(suite) -> [];
+'o-p57fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p57fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p56fail5'(suite) -> [];
+'o-p56fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p56fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p56fail4'(suite) -> [];
+'o-p56fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p56fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p56fail3'(suite) -> [];
+'o-p56fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p56fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p56fail2'(suite) -> [];
+'o-p56fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p56fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p56fail1'(suite) -> [];
+'o-p56fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p56fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p55fail1'(suite) -> [];
+'o-p55fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p55fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p54fail1'(suite) -> [];
+'o-p54fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p54fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p53fail5'(suite) -> [];
+'o-p53fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p53fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p53fail4'(suite) -> [];
+'o-p53fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p53fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p53fail3'(suite) -> [];
+'o-p53fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p53fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p53fail2'(suite) -> [];
+'o-p53fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p53fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p53fail1'(suite) -> [];
+'o-p53fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p53fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p52fail2'(suite) -> [];
+'o-p52fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p52fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p52fail1'(suite) -> [];
+'o-p52fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p52fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51fail7'(suite) -> [];
+'o-p51fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51fail7.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51fail6'(suite) -> [];
+'o-p51fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51fail6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51fail5'(suite) -> [];
+'o-p51fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51fail4'(suite) -> [];
+'o-p51fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51fail3'(suite) -> [];
+'o-p51fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51fail2'(suite) -> [];
+'o-p51fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51fail1'(suite) -> [];
+'o-p51fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p50fail1'(suite) -> [];
+'o-p50fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p50fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p49fail1'(suite) -> [];
+'o-p49fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p49fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p48fail2'(suite) -> [];
+'o-p48fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p48fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p48fail1'(suite) -> [];
+'o-p48fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p48fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p47fail4'(suite) -> [];
+'o-p47fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p47fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p47fail3'(suite) -> [];
+'o-p47fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p47fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p47fail2'(suite) -> [];
+'o-p47fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p47fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p47fail1'(suite) -> [];
+'o-p47fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p47fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p46fail6'(suite) -> [];
+'o-p46fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p46fail6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p46fail5'(suite) -> [];
+'o-p46fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p46fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p46fail4'(suite) -> [];
+'o-p46fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p46fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p46fail3'(suite) -> [];
+'o-p46fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p46fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p46fail2'(suite) -> [];
+'o-p46fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p46fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p46fail1'(suite) -> [];
+'o-p46fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p46fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p45fail4'(suite) -> [];
+'o-p45fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p45fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p45fail3'(suite) -> [];
+'o-p45fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p45fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p45fail2'(suite) -> [];
+'o-p45fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p45fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p45fail1'(suite) -> [];
+'o-p45fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p45fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44fail5'(suite) -> [];
+'o-p44fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44fail4'(suite) -> [];
+'o-p44fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44fail3'(suite) -> [];
+'o-p44fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44fail2'(suite) -> [];
+'o-p44fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44fail1'(suite) -> [];
+'o-p44fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p43fail3'(suite) -> [];
+'o-p43fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p43fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p43fail2'(suite) -> [];
+'o-p43fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p43fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p43fail1'(suite) -> [];
+'o-p43fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p43fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p42fail3'(suite) -> [];
+'o-p42fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p42fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p42fail2'(suite) -> [];
+'o-p42fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p42fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p42fail1'(suite) -> [];
+'o-p42fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p42fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p41fail3'(suite) -> [];
+'o-p41fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p41fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p41fail2'(suite) -> [];
+'o-p41fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p41fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p41fail1'(suite) -> [];
+'o-p41fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p41fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40fail4'(suite) -> [];
+'o-p40fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40fail3'(suite) -> [];
+'o-p40fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40fail2'(suite) -> [];
+'o-p40fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40fail1'(suite) -> [];
+'o-p40fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p39fail5'(suite) -> [];
+'o-p39fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p39fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p39fail4'(suite) -> [];
+'o-p39fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p39fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p39fail3'(suite) -> [];
+'o-p39fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p39fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p39fail2'(suite) -> [];
+'o-p39fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p39fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p39fail1'(suite) -> [];
+'o-p39fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p39fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p32fail5'(suite) -> [];
+'o-p32fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p32fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p32fail4'(suite) -> [];
+'o-p32fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p32fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p32fail3'(suite) -> [];
+'o-p32fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p32fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p32fail2'(suite) -> [];
+'o-p32fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p32fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p32fail1'(suite) -> [];
+'o-p32fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p32fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p31fail1'(suite) -> [];
+'o-p31fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p31fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p30fail1'(suite) -> [];
+'o-p30fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p30fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p29fail1'(suite) -> [];
+'o-p29fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p29fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p28fail1'(suite) -> [];
+'o-p28fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p28fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p27fail1'(suite) -> [];
+'o-p27fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p27fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p26fail2'(suite) -> [];
+'o-p26fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p26fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p26fail1'(suite) -> [];
+'o-p26fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p26fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p25fail1'(suite) -> [];
+'o-p25fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p25fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p24fail2'(suite) -> [];
+'o-p24fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p24fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p24fail1'(suite) -> [];
+'o-p24fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p24fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23fail5'(suite) -> [];
+'o-p23fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23fail4'(suite) -> [];
+'o-p23fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23fail3'(suite) -> [];
+'o-p23fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23fail2'(suite) -> [];
+'o-p23fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23fail1'(suite) -> [];
+'o-p23fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22fail2'(suite) -> [];
+'o-p22fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22fail1'(suite) -> [];
+'o-p22fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p18fail3'(suite) -> [];
+'o-p18fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p18fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p18fail2'(suite) -> [];
+'o-p18fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p18fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p18fail1'(suite) -> [];
+'o-p18fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p18fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p16fail3'(suite) -> [];
+'o-p16fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p16fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p16fail2'(suite) -> [];
+'o-p16fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p16fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p16fail1'(suite) -> [];
+'o-p16fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p16fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p15fail3'(suite) -> [];
+'o-p15fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p15fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p15fail2'(suite) -> [];
+'o-p15fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p15fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p15fail1'(suite) -> [];
+'o-p15fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p15fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p14fail3'(suite) -> [];
+'o-p14fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p14fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p14fail2'(suite) -> [];
+'o-p14fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p14fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p14fail1'(suite) -> [];
+'o-p14fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p14fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12fail7'(suite) -> [];
+'o-p12fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12fail7.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12fail6'(suite) -> [];
+'o-p12fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12fail6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12fail5'(suite) -> [];
+'o-p12fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12fail4'(suite) -> [];
+'o-p12fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12fail3'(suite) -> [];
+'o-p12fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12fail2'(suite) -> [];
+'o-p12fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12fail1'(suite) -> [];
+'o-p12fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p11fail2'(suite) -> [];
+'o-p11fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p11fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p11fail1'(suite) -> [];
+'o-p11fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p11fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p10fail3'(suite) -> [];
+'o-p10fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p10fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p10fail2'(suite) -> [];
+'o-p10fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p10fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p10fail1'(suite) -> [];
+'o-p10fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p10fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p09fail5'(suite) -> [];
+'o-p09fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p09fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p09fail4'(suite) -> [];
+'o-p09fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p09fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p09fail3'(suite) -> [];
+'o-p09fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p09fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p09fail2'(suite) -> [];
+'o-p09fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p09fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p09fail1'(suite) -> [];
+'o-p09fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p09fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p05fail5'(suite) -> [];
+'o-p05fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p05fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p05fail4'(suite) -> [];
+'o-p05fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p05fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p05fail3'(suite) -> [];
+'o-p05fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p05fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p05fail2'(suite) -> [];
+'o-p05fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p05fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p05fail1'(suite) -> [];
+'o-p05fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p05fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p04fail3'(suite) -> [];
+'o-p04fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p04fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p04fail2'(suite) -> [];
+'o-p04fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p04fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p04fail1'(suite) -> [];
+'o-p04fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p04fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail9'(suite) -> [];
+'o-p03fail9'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail9.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail8'(suite) -> [];
+'o-p03fail8'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail8.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail7'(suite) -> [];
+'o-p03fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail7.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail5'(suite) -> [];
+'o-p03fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail4'(suite) -> [];
+'o-p03fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail3'(suite) -> [];
+'o-p03fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail29'(suite) -> [];
+'o-p03fail29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail29.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail28'(suite) -> [];
+'o-p03fail28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail28.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail27'(suite) -> [];
+'o-p03fail27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail27.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail26'(suite) -> [];
+'o-p03fail26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail26.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail25'(suite) -> [];
+'o-p03fail25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail25.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail24'(suite) -> [];
+'o-p03fail24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail24.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail23'(suite) -> [];
+'o-p03fail23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail23.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail22'(suite) -> [];
+'o-p03fail22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail22.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail21'(suite) -> [];
+'o-p03fail21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail21.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail20'(suite) -> [];
+'o-p03fail20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail20.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail2'(suite) -> [];
+'o-p03fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail19'(suite) -> [];
+'o-p03fail19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail19.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail18'(suite) -> [];
+'o-p03fail18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail18.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail17'(suite) -> [];
+'o-p03fail17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail17.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail16'(suite) -> [];
+'o-p03fail16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail15'(suite) -> [];
+'o-p03fail15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail14'(suite) -> [];
+'o-p03fail14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail13'(suite) -> [];
+'o-p03fail13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail12'(suite) -> [];
+'o-p03fail12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail11'(suite) -> [];
+'o-p03fail11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail10'(suite) -> [];
+'o-p03fail10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p03fail1'(suite) -> [];
+'o-p03fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail9'(suite) -> [];
+'o-p02fail9'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail9.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail8'(suite) -> [];
+'o-p02fail8'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail8.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail7'(suite) -> [];
+'o-p02fail7'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail7.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail6'(suite) -> [];
+'o-p02fail6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail5'(suite) -> [];
+'o-p02fail5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail4'(suite) -> [];
+'o-p02fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail31'(suite) -> [];
+'o-p02fail31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail31.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail30'(suite) -> [];
+'o-p02fail30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail30.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail3'(suite) -> [];
+'o-p02fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail29'(suite) -> [];
+'o-p02fail29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail29.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail28'(suite) -> [];
+'o-p02fail28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail28.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail27'(suite) -> [];
+'o-p02fail27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail27.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail26'(suite) -> [];
+'o-p02fail26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail26.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail25'(suite) -> [];
+'o-p02fail25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail25.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail24'(suite) -> [];
+'o-p02fail24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail24.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail23'(suite) -> [];
+'o-p02fail23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail23.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail22'(suite) -> [];
+'o-p02fail22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail22.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail21'(suite) -> [];
+'o-p02fail21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail21.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail20'(suite) -> [];
+'o-p02fail20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail20.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail2'(suite) -> [];
+'o-p02fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail19'(suite) -> [];
+'o-p02fail19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail19.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail18'(suite) -> [];
+'o-p02fail18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail18.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail17'(suite) -> [];
+'o-p02fail17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail17.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail16'(suite) -> [];
+'o-p02fail16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail15'(suite) -> [];
+'o-p02fail15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail14'(suite) -> [];
+'o-p02fail14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail13'(suite) -> [];
+'o-p02fail13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail12'(suite) -> [];
+'o-p02fail12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail11'(suite) -> [];
+'o-p02fail11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail10'(suite) -> [];
+'o-p02fail10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p02fail1'(suite) -> [];
+'o-p02fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p02fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p01fail4'(suite) -> [];
+'o-p01fail4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p01fail4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p01fail3'(suite) -> [];
+'o-p01fail3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p01fail3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p01fail2'(suite) -> [];
+'o-p01fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p01fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p01fail1'(suite) -> [];
+'o-p01fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p01fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-e2'(suite) -> [];
+'o-e2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-e2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p75pass1'(suite) -> [];
+'o-p75pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p75pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p74pass1'(suite) -> [];
+'o-p74pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p74pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p66pass1'(suite) -> [];
+'o-p66pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p66pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44pass5'(suite) -> [];
+'o-p44pass5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44pass5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44pass4'(suite) -> [];
+'o-p44pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44pass4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44pass3'(suite) -> [];
+'o-p44pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44pass2'(suite) -> [];
+'o-p44pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p44pass1'(suite) -> [];
+'o-p44pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p44pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p42pass2'(suite) -> [];
+'o-p42pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p42pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p42pass1'(suite) -> [];
+'o-p42pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p42pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p41pass2'(suite) -> [];
+'o-p41pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p41pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p41pass1'(suite) -> [];
+'o-p41pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p41pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40pass4'(suite) -> [];
+'o-p40pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40pass4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40pass3'(suite) -> [];
+'o-p40pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40pass2'(suite) -> [];
+'o-p40pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p40pass1'(suite) -> [];
+'o-p40pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p40pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p39pass2'(suite) -> [];
+'o-p39pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p39pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p39pass1'(suite) -> [];
+'o-p39pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p39pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p32pass2'(suite) -> [];
+'o-p32pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p32pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p32pass1'(suite) -> [];
+'o-p32pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p32pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p27pass4'(suite) -> [];
+'o-p27pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p27pass4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p27pass3'(suite) -> [];
+'o-p27pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p27pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p27pass2'(suite) -> [];
+'o-p27pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p27pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p27pass1'(suite) -> [];
+'o-p27pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p27pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p26pass1'(suite) -> [];
+'o-p26pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p26pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p25pass2'(suite) -> [];
+'o-p25pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p25pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p25pass1'(suite) -> [];
+'o-p25pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p25pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p24pass4'(suite) -> [];
+'o-p24pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p24pass4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p24pass3'(suite) -> [];
+'o-p24pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p24pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p24pass2'(suite) -> [];
+'o-p24pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p24pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p24pass1'(suite) -> [];
+'o-p24pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p24pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23pass4'(suite) -> [];
+'o-p23pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23pass4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23pass3'(suite) -> [];
+'o-p23pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23pass2'(suite) -> [];
+'o-p23pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p23pass1'(suite) -> [];
+'o-p23pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p23pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22pass3'(suite) -> [];
+'o-p22pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22pass2'(suite) -> [];
+'o-p22pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22pass1'(suite) -> [];
+'o-p22pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p18pass1'(suite) -> [];
+'o-p18pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p18pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p16pass3'(suite) -> [];
+'o-p16pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p16pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p16pass2'(suite) -> [];
+'o-p16pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p16pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p16pass1'(suite) -> [];
+'o-p16pass1'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p16pass1.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Hard to interpret the meaning of the XML1.0 spec. See section 2.6 and 2.3."]}.
+
+'o-p15pass1'(suite) -> [];
+'o-p15pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p15pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p14pass1'(suite) -> [];
+'o-p14pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p14pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p10pass1'(suite) -> [];
+'o-p10pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p10pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p08fail2'(suite) -> [];
+'o-p08fail2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p08fail2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p08fail1'(suite) -> [];
+'o-p08fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p08fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p06fail1'(suite) -> [];
+'o-p06fail1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p06fail1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p05pass1'(suite) -> [];
+'o-p05pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p05pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p04pass1'(suite) -> [];
+'o-p04pass1'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p04pass1.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle name containing characters > x#ff, since they are converted to atoms"]}.
+'o-p03pass1'(suite) -> [];
+'o-p03pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p03pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p01pass3'(suite) -> [];
+'o-p01pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p01pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p01pass1'(suite) -> [];
+'o-p01pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p01pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p76pass1'(suite) -> [];
+'o-p76pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p76pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p73pass1'(suite) -> [];
+'o-p73pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p73pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p72pass1'(suite) -> [];
+'o-p72pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p72pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p71pass1'(suite) -> [];
+'o-p71pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p71pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p70pass1'(suite) -> [];
+'o-p70pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p70pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p69pass1'(suite) -> [];
+'o-p69pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p69pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p68pass1'(suite) -> [];
+'o-p68pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p68pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p64pass1'(suite) -> [];
+'o-p64pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p64pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p63pass1'(suite) -> [];
+'o-p63pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p63pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p62pass1'(suite) -> [];
+'o-p62pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p62pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p61pass1'(suite) -> [];
+'o-p61pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p61pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p60pass1'(suite) -> [];
+'o-p60pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p60pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p59pass1'(suite) -> [];
+'o-p59pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p59pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p58pass1'(suite) -> [];
+'o-p58pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p58pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p57pass1'(suite) -> [];
+'o-p57pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p57pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p56pass1'(suite) -> [];
+'o-p56pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p56pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p55pass1'(suite) -> [];
+'o-p55pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p55pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p54pass1'(suite) -> [];
+'o-p54pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p54pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p53pass1'(suite) -> [];
+'o-p53pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p53pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p52pass1'(suite) -> [];
+'o-p52pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p52pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p51pass1'(suite) -> [];
+'o-p51pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p51pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p50pass1'(suite) -> [];
+'o-p50pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p50pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p49pass1'(suite) -> [];
+'o-p49pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p49pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p48pass1'(suite) -> [];
+'o-p48pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p48pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p47pass1'(suite) -> [];
+'o-p47pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p47pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p46pass1'(suite) -> [];
+'o-p46pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p46pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p45pass1'(suite) -> [];
+'o-p45pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p45pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p43pass1'(suite) -> [];
+'o-p43pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p43pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p31pass2'(suite) -> [];
+'o-p31pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p31pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p31pass1'(suite) -> [];
+'o-p31pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p31pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p30pass2'(suite) -> [];
+'o-p30pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p30pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p30pass1'(suite) -> [];
+'o-p30pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p30pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p29pass1'(suite) -> [];
+'o-p29pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p29pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p28pass5'(suite) -> [];
+'o-p28pass5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p28pass5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p28pass4'(suite) -> [];
+'o-p28pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p28pass4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p28pass3'(suite) -> [];
+'o-p28pass3'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p28pass3.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p28pass1'(suite) -> [];
+'o-p28pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p28pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22pass6'(suite) -> [];
+'o-p22pass6'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22pass6.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22pass5'(suite) -> [];
+'o-p22pass5'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22pass5.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p22pass4'(suite) -> [];
+'o-p22pass4'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p22pass4.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p12pass1'(suite) -> [];
+'o-p12pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p12pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p09pass1'(suite) -> [];
+'o-p09pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p09pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p08pass1'(suite) -> [];
+'o-p08pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p08pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p07pass1'(suite) -> [];
+'o-p07pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p07pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p06pass1'(suite) -> [];
+'o-p06pass1'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p06pass1.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'o-p01pass2'(suite) -> [];
+'o-p01pass2'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),oasis,"o-p01pass2.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'ibm-invalid-P76-ibm76i01'(suite) -> [];
+'ibm-invalid-P76-ibm76i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P76-ibm76i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P69-ibm69i04'(suite) -> [];
+'ibm-invalid-P69-ibm69i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P69-ibm69i04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P69-ibm69i03'(suite) -> [];
+'ibm-invalid-P69-ibm69i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P69-ibm69i03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P69-ibm69i02'(suite) -> [];
+'ibm-invalid-P69-ibm69i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P69-ibm69i02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P69-ibm69i01'(suite) -> [];
+'ibm-invalid-P69-ibm69i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P69-ibm69i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P68-ibm68i04'(suite) -> [];
+'ibm-invalid-P68-ibm68i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P68-ibm68i04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P68-ibm68i03'(suite) -> [];
+'ibm-invalid-P68-ibm68i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P68-ibm68i03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P68-ibm68i02'(suite) -> [];
+'ibm-invalid-P68-ibm68i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P68-ibm68i02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P68-ibm68i01'(suite) -> [];
+'ibm-invalid-P68-ibm68i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P68-ibm68i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P60-ibm60i04'(suite) -> [];
+'ibm-invalid-P60-ibm60i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P60-ibm60i04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P60-ibm60i03'(suite) -> [];
+'ibm-invalid-P60-ibm60i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P60-ibm60i03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P60-ibm60i02'(suite) -> [];
+'ibm-invalid-P60-ibm60i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P60-ibm60i02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P60-ibm60i01'(suite) -> [];
+'ibm-invalid-P60-ibm60i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P60-ibm60i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P59-ibm59i01'(suite) -> [];
+'ibm-invalid-P59-ibm59i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P59-ibm59i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P58-ibm58i02'(suite) -> [];
+'ibm-invalid-P58-ibm58i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P58-ibm58i02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P58-ibm58i01'(suite) -> [];
+'ibm-invalid-P58-ibm58i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P58-ibm58i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i18'(suite) -> [];
+'ibm-invalid-P56-ibm56i18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i18.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i17'(suite) -> [];
+'ibm-invalid-P56-ibm56i17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i17.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i16'(suite) -> [];
+'ibm-invalid-P56-ibm56i16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i15'(suite) -> [];
+'ibm-invalid-P56-ibm56i15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i14'(suite) -> [];
+'ibm-invalid-P56-ibm56i14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i13'(suite) -> [];
+'ibm-invalid-P56-ibm56i13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i12'(suite) -> [];
+'ibm-invalid-P56-ibm56i12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i11'(suite) -> [];
+'ibm-invalid-P56-ibm56i11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i10'(suite) -> [];
+'ibm-invalid-P56-ibm56i10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i09'(suite) -> [];
+'ibm-invalid-P56-ibm56i09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i08'(suite) -> [];
+'ibm-invalid-P56-ibm56i08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i07'(suite) -> [];
+'ibm-invalid-P56-ibm56i07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i06'(suite) -> [];
+'ibm-invalid-P56-ibm56i06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i05'(suite) -> [];
+'ibm-invalid-P56-ibm56i05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i03'(suite) -> [];
+'ibm-invalid-P56-ibm56i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i02'(suite) -> [];
+'ibm-invalid-P56-ibm56i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P56-ibm56i01'(suite) -> [];
+'ibm-invalid-P56-ibm56i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P56-ibm56i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P51-ibm51i03'(suite) -> [];
+'ibm-invalid-P51-ibm51i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P51-ibm51i03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P51-ibm51i01'(suite) -> [];
+'ibm-invalid-P51-ibm51i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P51-ibm51i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P50-ibm50i01'(suite) -> [];
+'ibm-invalid-P50-ibm50i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P50-ibm50i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P49-ibm49i01'(suite) -> [];
+'ibm-invalid-P49-ibm49i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P49-ibm49i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P45-ibm45i01'(suite) -> [];
+'ibm-invalid-P45-ibm45i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P45-ibm45i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P41-ibm41i02'(suite) -> [];
+'ibm-invalid-P41-ibm41i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P41-ibm41i02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P41-ibm41i01'(suite) -> [];
+'ibm-invalid-P41-ibm41i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P41-ibm41i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P39-ibm39i04'(suite) -> [];
+'ibm-invalid-P39-ibm39i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P39-ibm39i04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P39-ibm39i03'(suite) -> [];
+'ibm-invalid-P39-ibm39i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P39-ibm39i03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P39-ibm39i02'(suite) -> [];
+'ibm-invalid-P39-ibm39i02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P39-ibm39i02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P39-ibm39i01'(suite) -> [];
+'ibm-invalid-P39-ibm39i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P39-ibm39i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P32-ibm32i04'(suite) -> [];
+'ibm-invalid-P32-ibm32i04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P32-ibm32i04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P32-ibm32i03'(suite) -> [];
+'ibm-invalid-P32-ibm32i03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P32-ibm32i03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P32-ibm32i01'(suite) -> [];
+'ibm-invalid-P32-ibm32i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P32-ibm32i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-invalid-P28-ibm28i01'(suite) -> [];
+'ibm-invalid-P28-ibm28i01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-invalid-P28-ibm28i01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'ibm-not-wf-P89-ibm89n12'(suite) -> [];
+'ibm-not-wf-P89-ibm89n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n11'(suite) -> [];
+'ibm-not-wf-P89-ibm89n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n10'(suite) -> [];
+'ibm-not-wf-P89-ibm89n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n09'(suite) -> [];
+'ibm-not-wf-P89-ibm89n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n08'(suite) -> [];
+'ibm-not-wf-P89-ibm89n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n07'(suite) -> [];
+'ibm-not-wf-P89-ibm89n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n06'(suite) -> [];
+'ibm-not-wf-P89-ibm89n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n05'(suite) -> [];
+'ibm-not-wf-P89-ibm89n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n04'(suite) -> [];
+'ibm-not-wf-P89-ibm89n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n03'(suite) -> [];
+'ibm-not-wf-P89-ibm89n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n02'(suite) -> [];
+'ibm-not-wf-P89-ibm89n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P89-ibm89n01'(suite) -> [];
+'ibm-not-wf-P89-ibm89n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P89-ibm89n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n16'(suite) -> [];
+'ibm-not-wf-P88-ibm88n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n15'(suite) -> [];
+'ibm-not-wf-P88-ibm88n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n14'(suite) -> [];
+'ibm-not-wf-P88-ibm88n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n13'(suite) -> [];
+'ibm-not-wf-P88-ibm88n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n12'(suite) -> [];
+'ibm-not-wf-P88-ibm88n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n11'(suite) -> [];
+'ibm-not-wf-P88-ibm88n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n10'(suite) -> [];
+'ibm-not-wf-P88-ibm88n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n09'(suite) -> [];
+'ibm-not-wf-P88-ibm88n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n08'(suite) -> [];
+'ibm-not-wf-P88-ibm88n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n06'(suite) -> [];
+'ibm-not-wf-P88-ibm88n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n05'(suite) -> [];
+'ibm-not-wf-P88-ibm88n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n04'(suite) -> [];
+'ibm-not-wf-P88-ibm88n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n03'(suite) -> [];
+'ibm-not-wf-P88-ibm88n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n02'(suite) -> [];
+'ibm-not-wf-P88-ibm88n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P88-ibm88n01'(suite) -> [];
+'ibm-not-wf-P88-ibm88n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P88-ibm88n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n85'(suite) -> [];
+'ibm-not-wf-P87-ibm87n85'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n85.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n84'(suite) -> [];
+'ibm-not-wf-P87-ibm87n84'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n84.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n83'(suite) -> [];
+'ibm-not-wf-P87-ibm87n83'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n83.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n82'(suite) -> [];
+'ibm-not-wf-P87-ibm87n82'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n82.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n81'(suite) -> [];
+'ibm-not-wf-P87-ibm87n81'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n81.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n80'(suite) -> [];
+'ibm-not-wf-P87-ibm87n80'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n80.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n79'(suite) -> [];
+'ibm-not-wf-P87-ibm87n79'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n79.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n78'(suite) -> [];
+'ibm-not-wf-P87-ibm87n78'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n78.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n77'(suite) -> [];
+'ibm-not-wf-P87-ibm87n77'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n77.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n76'(suite) -> [];
+'ibm-not-wf-P87-ibm87n76'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n76.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n75'(suite) -> [];
+'ibm-not-wf-P87-ibm87n75'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n75.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n74'(suite) -> [];
+'ibm-not-wf-P87-ibm87n74'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n74.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n73'(suite) -> [];
+'ibm-not-wf-P87-ibm87n73'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n73.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n72'(suite) -> [];
+'ibm-not-wf-P87-ibm87n72'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n72.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n71'(suite) -> [];
+'ibm-not-wf-P87-ibm87n71'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n71.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n70'(suite) -> [];
+'ibm-not-wf-P87-ibm87n70'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n70.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n69'(suite) -> [];
+'ibm-not-wf-P87-ibm87n69'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n69.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n68'(suite) -> [];
+'ibm-not-wf-P87-ibm87n68'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n68.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n67'(suite) -> [];
+'ibm-not-wf-P87-ibm87n67'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n67.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n66'(suite) -> [];
+'ibm-not-wf-P87-ibm87n66'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n66.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n64'(suite) -> [];
+'ibm-not-wf-P87-ibm87n64'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n64.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n63'(suite) -> [];
+'ibm-not-wf-P87-ibm87n63'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n63.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n62'(suite) -> [];
+'ibm-not-wf-P87-ibm87n62'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n62.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n61'(suite) -> [];
+'ibm-not-wf-P87-ibm87n61'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n61.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n60'(suite) -> [];
+'ibm-not-wf-P87-ibm87n60'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n60.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n59'(suite) -> [];
+'ibm-not-wf-P87-ibm87n59'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n59.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n58'(suite) -> [];
+'ibm-not-wf-P87-ibm87n58'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n58.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n57'(suite) -> [];
+'ibm-not-wf-P87-ibm87n57'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n57.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n56'(suite) -> [];
+'ibm-not-wf-P87-ibm87n56'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n56.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n55'(suite) -> [];
+'ibm-not-wf-P87-ibm87n55'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n55.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n54'(suite) -> [];
+'ibm-not-wf-P87-ibm87n54'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n54.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n53'(suite) -> [];
+'ibm-not-wf-P87-ibm87n53'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n53.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n52'(suite) -> [];
+'ibm-not-wf-P87-ibm87n52'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n52.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n51'(suite) -> [];
+'ibm-not-wf-P87-ibm87n51'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n51.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n50'(suite) -> [];
+'ibm-not-wf-P87-ibm87n50'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n50.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n49'(suite) -> [];
+'ibm-not-wf-P87-ibm87n49'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n49.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n48'(suite) -> [];
+'ibm-not-wf-P87-ibm87n48'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n48.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n47'(suite) -> [];
+'ibm-not-wf-P87-ibm87n47'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n47.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n46'(suite) -> [];
+'ibm-not-wf-P87-ibm87n46'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n46.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n45'(suite) -> [];
+'ibm-not-wf-P87-ibm87n45'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n45.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n44'(suite) -> [];
+'ibm-not-wf-P87-ibm87n44'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n44.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n43'(suite) -> [];
+'ibm-not-wf-P87-ibm87n43'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n43.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n42'(suite) -> [];
+'ibm-not-wf-P87-ibm87n42'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n42.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n41'(suite) -> [];
+'ibm-not-wf-P87-ibm87n41'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n41.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n40'(suite) -> [];
+'ibm-not-wf-P87-ibm87n40'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n40.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n39'(suite) -> [];
+'ibm-not-wf-P87-ibm87n39'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n39.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n38'(suite) -> [];
+'ibm-not-wf-P87-ibm87n38'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n38.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n37'(suite) -> [];
+'ibm-not-wf-P87-ibm87n37'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n37.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n36'(suite) -> [];
+'ibm-not-wf-P87-ibm87n36'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n36.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n35'(suite) -> [];
+'ibm-not-wf-P87-ibm87n35'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n35.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n34'(suite) -> [];
+'ibm-not-wf-P87-ibm87n34'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n34.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n33'(suite) -> [];
+'ibm-not-wf-P87-ibm87n33'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n33.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n32'(suite) -> [];
+'ibm-not-wf-P87-ibm87n32'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n32.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n31'(suite) -> [];
+'ibm-not-wf-P87-ibm87n31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n31.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n30'(suite) -> [];
+'ibm-not-wf-P87-ibm87n30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n30.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n29'(suite) -> [];
+'ibm-not-wf-P87-ibm87n29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n29.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n28'(suite) -> [];
+'ibm-not-wf-P87-ibm87n28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n28.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n27'(suite) -> [];
+'ibm-not-wf-P87-ibm87n27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n27.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n26'(suite) -> [];
+'ibm-not-wf-P87-ibm87n26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n26.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n25'(suite) -> [];
+'ibm-not-wf-P87-ibm87n25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n25.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n24'(suite) -> [];
+'ibm-not-wf-P87-ibm87n24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n24.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n23'(suite) -> [];
+'ibm-not-wf-P87-ibm87n23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n23.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n22'(suite) -> [];
+'ibm-not-wf-P87-ibm87n22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n22.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n21'(suite) -> [];
+'ibm-not-wf-P87-ibm87n21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n21.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n20'(suite) -> [];
+'ibm-not-wf-P87-ibm87n20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n20.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n19'(suite) -> [];
+'ibm-not-wf-P87-ibm87n19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n19.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n18'(suite) -> [];
+'ibm-not-wf-P87-ibm87n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n18.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n17'(suite) -> [];
+'ibm-not-wf-P87-ibm87n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n17.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n16'(suite) -> [];
+'ibm-not-wf-P87-ibm87n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n15'(suite) -> [];
+'ibm-not-wf-P87-ibm87n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n14'(suite) -> [];
+'ibm-not-wf-P87-ibm87n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n13'(suite) -> [];
+'ibm-not-wf-P87-ibm87n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n12'(suite) -> [];
+'ibm-not-wf-P87-ibm87n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n11'(suite) -> [];
+'ibm-not-wf-P87-ibm87n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n10'(suite) -> [];
+'ibm-not-wf-P87-ibm87n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n09'(suite) -> [];
+'ibm-not-wf-P87-ibm87n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n08'(suite) -> [];
+'ibm-not-wf-P87-ibm87n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n07'(suite) -> [];
+'ibm-not-wf-P87-ibm87n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n06'(suite) -> [];
+'ibm-not-wf-P87-ibm87n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n05'(suite) -> [];
+'ibm-not-wf-P87-ibm87n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n04'(suite) -> [];
+'ibm-not-wf-P87-ibm87n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n03'(suite) -> [];
+'ibm-not-wf-P87-ibm87n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n02'(suite) -> [];
+'ibm-not-wf-P87-ibm87n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P87-ibm87n01'(suite) -> [];
+'ibm-not-wf-P87-ibm87n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P87-ibm87n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P86-ibm86n04'(suite) -> [];
+'ibm-not-wf-P86-ibm86n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P86-ibm86n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P86-ibm86n03'(suite) -> [];
+'ibm-not-wf-P86-ibm86n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P86-ibm86n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P86-ibm86n02'(suite) -> [];
+'ibm-not-wf-P86-ibm86n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P86-ibm86n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P86-ibm86n01'(suite) -> [];
+'ibm-not-wf-P86-ibm86n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P86-ibm86n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n99'(suite) -> [];
+'ibm-not-wf-P85-ibm85n99'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n99.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n98'(suite) -> [];
+'ibm-not-wf-P85-ibm85n98'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n98.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n97'(suite) -> [];
+'ibm-not-wf-P85-ibm85n97'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n97.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n96'(suite) -> [];
+'ibm-not-wf-P85-ibm85n96'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n96.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n95'(suite) -> [];
+'ibm-not-wf-P85-ibm85n95'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n95.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n94'(suite) -> [];
+'ibm-not-wf-P85-ibm85n94'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n94.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n93'(suite) -> [];
+'ibm-not-wf-P85-ibm85n93'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n93.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n92'(suite) -> [];
+'ibm-not-wf-P85-ibm85n92'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n92.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n91'(suite) -> [];
+'ibm-not-wf-P85-ibm85n91'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n91.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n90'(suite) -> [];
+'ibm-not-wf-P85-ibm85n90'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n90.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n89'(suite) -> [];
+'ibm-not-wf-P85-ibm85n89'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n89.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n88'(suite) -> [];
+'ibm-not-wf-P85-ibm85n88'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n88.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n87'(suite) -> [];
+'ibm-not-wf-P85-ibm85n87'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n87.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n86'(suite) -> [];
+'ibm-not-wf-P85-ibm85n86'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n86.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n85'(suite) -> [];
+'ibm-not-wf-P85-ibm85n85'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n85.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n84'(suite) -> [];
+'ibm-not-wf-P85-ibm85n84'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n84.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n83'(suite) -> [];
+'ibm-not-wf-P85-ibm85n83'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n83.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n82'(suite) -> [];
+'ibm-not-wf-P85-ibm85n82'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n82.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n81'(suite) -> [];
+'ibm-not-wf-P85-ibm85n81'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n81.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n80'(suite) -> [];
+'ibm-not-wf-P85-ibm85n80'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n80.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n79'(suite) -> [];
+'ibm-not-wf-P85-ibm85n79'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n79.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n78'(suite) -> [];
+'ibm-not-wf-P85-ibm85n78'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n78.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n77'(suite) -> [];
+'ibm-not-wf-P85-ibm85n77'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n77.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n76'(suite) -> [];
+'ibm-not-wf-P85-ibm85n76'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n76.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n75'(suite) -> [];
+'ibm-not-wf-P85-ibm85n75'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n75.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n74'(suite) -> [];
+'ibm-not-wf-P85-ibm85n74'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n74.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n73'(suite) -> [];
+'ibm-not-wf-P85-ibm85n73'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n73.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n72'(suite) -> [];
+'ibm-not-wf-P85-ibm85n72'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n72.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n71'(suite) -> [];
+'ibm-not-wf-P85-ibm85n71'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n71.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n70'(suite) -> [];
+'ibm-not-wf-P85-ibm85n70'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n70.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n69'(suite) -> [];
+'ibm-not-wf-P85-ibm85n69'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n69.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n68'(suite) -> [];
+'ibm-not-wf-P85-ibm85n68'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n68.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n67'(suite) -> [];
+'ibm-not-wf-P85-ibm85n67'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n67.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n66'(suite) -> [];
+'ibm-not-wf-P85-ibm85n66'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n66.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n65'(suite) -> [];
+'ibm-not-wf-P85-ibm85n65'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n65.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n64'(suite) -> [];
+'ibm-not-wf-P85-ibm85n64'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n64.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n63'(suite) -> [];
+'ibm-not-wf-P85-ibm85n63'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n63.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n62'(suite) -> [];
+'ibm-not-wf-P85-ibm85n62'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n62.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n61'(suite) -> [];
+'ibm-not-wf-P85-ibm85n61'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n61.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n60'(suite) -> [];
+'ibm-not-wf-P85-ibm85n60'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n60.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n59'(suite) -> [];
+'ibm-not-wf-P85-ibm85n59'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n59.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n58'(suite) -> [];
+'ibm-not-wf-P85-ibm85n58'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n58.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n57'(suite) -> [];
+'ibm-not-wf-P85-ibm85n57'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n57.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n56'(suite) -> [];
+'ibm-not-wf-P85-ibm85n56'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n56.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n55'(suite) -> [];
+'ibm-not-wf-P85-ibm85n55'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n55.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n54'(suite) -> [];
+'ibm-not-wf-P85-ibm85n54'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n54.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n53'(suite) -> [];
+'ibm-not-wf-P85-ibm85n53'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n53.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n52'(suite) -> [];
+'ibm-not-wf-P85-ibm85n52'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n52.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n51'(suite) -> [];
+'ibm-not-wf-P85-ibm85n51'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n51.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n50'(suite) -> [];
+'ibm-not-wf-P85-ibm85n50'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n50.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n49'(suite) -> [];
+'ibm-not-wf-P85-ibm85n49'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n49.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n48'(suite) -> [];
+'ibm-not-wf-P85-ibm85n48'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n48.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n47'(suite) -> [];
+'ibm-not-wf-P85-ibm85n47'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n47.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n46'(suite) -> [];
+'ibm-not-wf-P85-ibm85n46'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n46.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n45'(suite) -> [];
+'ibm-not-wf-P85-ibm85n45'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n45.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n44'(suite) -> [];
+'ibm-not-wf-P85-ibm85n44'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n44.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n43'(suite) -> [];
+'ibm-not-wf-P85-ibm85n43'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n43.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n42'(suite) -> [];
+'ibm-not-wf-P85-ibm85n42'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n42.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n41'(suite) -> [];
+'ibm-not-wf-P85-ibm85n41'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n41.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n40'(suite) -> [];
+'ibm-not-wf-P85-ibm85n40'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n40.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n39'(suite) -> [];
+'ibm-not-wf-P85-ibm85n39'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n39.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n38'(suite) -> [];
+'ibm-not-wf-P85-ibm85n38'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n38.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n37'(suite) -> [];
+'ibm-not-wf-P85-ibm85n37'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n37.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n36'(suite) -> [];
+'ibm-not-wf-P85-ibm85n36'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n36.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n35'(suite) -> [];
+'ibm-not-wf-P85-ibm85n35'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n35.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n34'(suite) -> [];
+'ibm-not-wf-P85-ibm85n34'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n34.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n33'(suite) -> [];
+'ibm-not-wf-P85-ibm85n33'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n33.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n32'(suite) -> [];
+'ibm-not-wf-P85-ibm85n32'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n32.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n31'(suite) -> [];
+'ibm-not-wf-P85-ibm85n31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n31.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n30'(suite) -> [];
+'ibm-not-wf-P85-ibm85n30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n30.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n29'(suite) -> [];
+'ibm-not-wf-P85-ibm85n29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n29.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n28'(suite) -> [];
+'ibm-not-wf-P85-ibm85n28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n28.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n27'(suite) -> [];
+'ibm-not-wf-P85-ibm85n27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n27.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n26'(suite) -> [];
+'ibm-not-wf-P85-ibm85n26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n26.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n25'(suite) -> [];
+'ibm-not-wf-P85-ibm85n25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n25.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n24'(suite) -> [];
+'ibm-not-wf-P85-ibm85n24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n24.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n23'(suite) -> [];
+'ibm-not-wf-P85-ibm85n23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n23.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n22'(suite) -> [];
+'ibm-not-wf-P85-ibm85n22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n22.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n21'(suite) -> [];
+'ibm-not-wf-P85-ibm85n21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n21.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n20'(suite) -> [];
+'ibm-not-wf-P85-ibm85n20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n20.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n198'(suite) -> [];
+'ibm-not-wf-P85-ibm85n198'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n198.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n197'(suite) -> [];
+'ibm-not-wf-P85-ibm85n197'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n197.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n196'(suite) -> [];
+'ibm-not-wf-P85-ibm85n196'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n196.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n195'(suite) -> [];
+'ibm-not-wf-P85-ibm85n195'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n195.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n194'(suite) -> [];
+'ibm-not-wf-P85-ibm85n194'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n194.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n193'(suite) -> [];
+'ibm-not-wf-P85-ibm85n193'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n193.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n192'(suite) -> [];
+'ibm-not-wf-P85-ibm85n192'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n192.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n191'(suite) -> [];
+'ibm-not-wf-P85-ibm85n191'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n191.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n190'(suite) -> [];
+'ibm-not-wf-P85-ibm85n190'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n190.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n19'(suite) -> [];
+'ibm-not-wf-P85-ibm85n19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n19.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n189'(suite) -> [];
+'ibm-not-wf-P85-ibm85n189'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n189.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n188'(suite) -> [];
+'ibm-not-wf-P85-ibm85n188'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n188.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n187'(suite) -> [];
+'ibm-not-wf-P85-ibm85n187'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n187.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n186'(suite) -> [];
+'ibm-not-wf-P85-ibm85n186'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n186.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n185'(suite) -> [];
+'ibm-not-wf-P85-ibm85n185'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n185.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n184'(suite) -> [];
+'ibm-not-wf-P85-ibm85n184'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n184.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n183'(suite) -> [];
+'ibm-not-wf-P85-ibm85n183'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n183.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n182'(suite) -> [];
+'ibm-not-wf-P85-ibm85n182'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n182.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n181'(suite) -> [];
+'ibm-not-wf-P85-ibm85n181'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n181.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n180'(suite) -> [];
+'ibm-not-wf-P85-ibm85n180'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n180.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n18'(suite) -> [];
+'ibm-not-wf-P85-ibm85n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n18.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n179'(suite) -> [];
+'ibm-not-wf-P85-ibm85n179'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n179.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n178'(suite) -> [];
+'ibm-not-wf-P85-ibm85n178'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n178.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n177'(suite) -> [];
+'ibm-not-wf-P85-ibm85n177'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n177.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n176'(suite) -> [];
+'ibm-not-wf-P85-ibm85n176'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n176.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n175'(suite) -> [];
+'ibm-not-wf-P85-ibm85n175'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n175.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n174'(suite) -> [];
+'ibm-not-wf-P85-ibm85n174'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n174.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n173'(suite) -> [];
+'ibm-not-wf-P85-ibm85n173'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n173.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n172'(suite) -> [];
+'ibm-not-wf-P85-ibm85n172'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n172.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n171'(suite) -> [];
+'ibm-not-wf-P85-ibm85n171'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n171.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n170'(suite) -> [];
+'ibm-not-wf-P85-ibm85n170'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n170.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n17'(suite) -> [];
+'ibm-not-wf-P85-ibm85n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n17.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n169'(suite) -> [];
+'ibm-not-wf-P85-ibm85n169'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n169.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n168'(suite) -> [];
+'ibm-not-wf-P85-ibm85n168'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n168.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n167'(suite) -> [];
+'ibm-not-wf-P85-ibm85n167'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n167.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n166'(suite) -> [];
+'ibm-not-wf-P85-ibm85n166'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n166.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n165'(suite) -> [];
+'ibm-not-wf-P85-ibm85n165'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n165.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n164'(suite) -> [];
+'ibm-not-wf-P85-ibm85n164'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n164.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n163'(suite) -> [];
+'ibm-not-wf-P85-ibm85n163'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n163.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n162'(suite) -> [];
+'ibm-not-wf-P85-ibm85n162'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n162.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n161'(suite) -> [];
+'ibm-not-wf-P85-ibm85n161'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n161.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n160'(suite) -> [];
+'ibm-not-wf-P85-ibm85n160'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n160.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n16'(suite) -> [];
+'ibm-not-wf-P85-ibm85n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n159'(suite) -> [];
+'ibm-not-wf-P85-ibm85n159'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n159.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n158'(suite) -> [];
+'ibm-not-wf-P85-ibm85n158'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n158.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n157'(suite) -> [];
+'ibm-not-wf-P85-ibm85n157'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n157.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n156'(suite) -> [];
+'ibm-not-wf-P85-ibm85n156'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n156.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n155'(suite) -> [];
+'ibm-not-wf-P85-ibm85n155'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n155.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n154'(suite) -> [];
+'ibm-not-wf-P85-ibm85n154'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n154.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n153'(suite) -> [];
+'ibm-not-wf-P85-ibm85n153'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n153.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n152'(suite) -> [];
+'ibm-not-wf-P85-ibm85n152'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n152.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n151'(suite) -> [];
+'ibm-not-wf-P85-ibm85n151'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n151.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n150'(suite) -> [];
+'ibm-not-wf-P85-ibm85n150'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n150.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n15'(suite) -> [];
+'ibm-not-wf-P85-ibm85n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n149'(suite) -> [];
+'ibm-not-wf-P85-ibm85n149'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n149.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n148'(suite) -> [];
+'ibm-not-wf-P85-ibm85n148'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n148.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n147'(suite) -> [];
+'ibm-not-wf-P85-ibm85n147'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n147.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n146'(suite) -> [];
+'ibm-not-wf-P85-ibm85n146'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n146.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n145'(suite) -> [];
+'ibm-not-wf-P85-ibm85n145'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n145.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n144'(suite) -> [];
+'ibm-not-wf-P85-ibm85n144'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n144.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n143'(suite) -> [];
+'ibm-not-wf-P85-ibm85n143'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n143.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n142'(suite) -> [];
+'ibm-not-wf-P85-ibm85n142'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n142.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n141'(suite) -> [];
+'ibm-not-wf-P85-ibm85n141'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n141.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n140'(suite) -> [];
+'ibm-not-wf-P85-ibm85n140'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n140.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n14'(suite) -> [];
+'ibm-not-wf-P85-ibm85n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n139'(suite) -> [];
+'ibm-not-wf-P85-ibm85n139'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n139.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n138'(suite) -> [];
+'ibm-not-wf-P85-ibm85n138'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n138.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n137'(suite) -> [];
+'ibm-not-wf-P85-ibm85n137'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n137.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n136'(suite) -> [];
+'ibm-not-wf-P85-ibm85n136'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n136.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n135'(suite) -> [];
+'ibm-not-wf-P85-ibm85n135'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n135.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n134'(suite) -> [];
+'ibm-not-wf-P85-ibm85n134'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n134.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n133'(suite) -> [];
+'ibm-not-wf-P85-ibm85n133'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n133.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n132'(suite) -> [];
+'ibm-not-wf-P85-ibm85n132'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n132.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n131'(suite) -> [];
+'ibm-not-wf-P85-ibm85n131'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n131.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n130'(suite) -> [];
+'ibm-not-wf-P85-ibm85n130'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n130.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n13'(suite) -> [];
+'ibm-not-wf-P85-ibm85n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n129'(suite) -> [];
+'ibm-not-wf-P85-ibm85n129'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n129.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n128'(suite) -> [];
+'ibm-not-wf-P85-ibm85n128'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n128.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n127'(suite) -> [];
+'ibm-not-wf-P85-ibm85n127'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n127.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n126'(suite) -> [];
+'ibm-not-wf-P85-ibm85n126'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n126.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n125'(suite) -> [];
+'ibm-not-wf-P85-ibm85n125'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n125.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n124'(suite) -> [];
+'ibm-not-wf-P85-ibm85n124'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n124.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n123'(suite) -> [];
+'ibm-not-wf-P85-ibm85n123'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n123.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n122'(suite) -> [];
+'ibm-not-wf-P85-ibm85n122'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n122.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n121'(suite) -> [];
+'ibm-not-wf-P85-ibm85n121'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n121.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n120'(suite) -> [];
+'ibm-not-wf-P85-ibm85n120'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n120.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n12'(suite) -> [];
+'ibm-not-wf-P85-ibm85n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n119'(suite) -> [];
+'ibm-not-wf-P85-ibm85n119'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n119.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n118'(suite) -> [];
+'ibm-not-wf-P85-ibm85n118'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n118.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n117'(suite) -> [];
+'ibm-not-wf-P85-ibm85n117'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n117.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n116'(suite) -> [];
+'ibm-not-wf-P85-ibm85n116'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n116.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n115'(suite) -> [];
+'ibm-not-wf-P85-ibm85n115'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n115.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n114'(suite) -> [];
+'ibm-not-wf-P85-ibm85n114'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n114.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n113'(suite) -> [];
+'ibm-not-wf-P85-ibm85n113'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n113.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n112'(suite) -> [];
+'ibm-not-wf-P85-ibm85n112'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n112.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n111'(suite) -> [];
+'ibm-not-wf-P85-ibm85n111'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n111.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n110'(suite) -> [];
+'ibm-not-wf-P85-ibm85n110'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n110.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n11'(suite) -> [];
+'ibm-not-wf-P85-ibm85n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n109'(suite) -> [];
+'ibm-not-wf-P85-ibm85n109'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n109.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n108'(suite) -> [];
+'ibm-not-wf-P85-ibm85n108'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n108.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n107'(suite) -> [];
+'ibm-not-wf-P85-ibm85n107'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n107.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n106'(suite) -> [];
+'ibm-not-wf-P85-ibm85n106'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n106.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n105'(suite) -> [];
+'ibm-not-wf-P85-ibm85n105'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n105.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n104'(suite) -> [];
+'ibm-not-wf-P85-ibm85n104'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n104.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n103'(suite) -> [];
+'ibm-not-wf-P85-ibm85n103'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n103.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n102'(suite) -> [];
+'ibm-not-wf-P85-ibm85n102'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n102.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n101'(suite) -> [];
+'ibm-not-wf-P85-ibm85n101'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n101.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n100'(suite) -> [];
+'ibm-not-wf-P85-ibm85n100'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n100.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n10'(suite) -> [];
+'ibm-not-wf-P85-ibm85n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n09'(suite) -> [];
+'ibm-not-wf-P85-ibm85n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n08'(suite) -> [];
+'ibm-not-wf-P85-ibm85n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n07'(suite) -> [];
+'ibm-not-wf-P85-ibm85n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n06'(suite) -> [];
+'ibm-not-wf-P85-ibm85n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n05'(suite) -> [];
+'ibm-not-wf-P85-ibm85n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n04'(suite) -> [];
+'ibm-not-wf-P85-ibm85n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n03'(suite) -> [];
+'ibm-not-wf-P85-ibm85n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n02'(suite) -> [];
+'ibm-not-wf-P85-ibm85n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P85-ibm85n01'(suite) -> [];
+'ibm-not-wf-P85-ibm85n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P85-ibm85n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P83-ibm83n06'(suite) -> [];
+'ibm-not-wf-P83-ibm83n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P83-ibm83n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P83-ibm83n05'(suite) -> [];
+'ibm-not-wf-P83-ibm83n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P83-ibm83n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P83-ibm83n04'(suite) -> [];
+'ibm-not-wf-P83-ibm83n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P83-ibm83n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P83-ibm83n03'(suite) -> [];
+'ibm-not-wf-P83-ibm83n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P83-ibm83n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P83-ibm83n02'(suite) -> [];
+'ibm-not-wf-P83-ibm83n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P83-ibm83n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P83-ibm83n01'(suite) -> [];
+'ibm-not-wf-P83-ibm83n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P83-ibm83n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n08'(suite) -> [];
+'ibm-not-wf-P82-ibm82n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n07'(suite) -> [];
+'ibm-not-wf-P82-ibm82n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n06'(suite) -> [];
+'ibm-not-wf-P82-ibm82n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n05'(suite) -> [];
+'ibm-not-wf-P82-ibm82n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n04'(suite) -> [];
+'ibm-not-wf-P82-ibm82n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n03'(suite) -> [];
+'ibm-not-wf-P82-ibm82n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n02'(suite) -> [];
+'ibm-not-wf-P82-ibm82n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P82-ibm82n01'(suite) -> [];
+'ibm-not-wf-P82-ibm82n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P82-ibm82n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n09'(suite) -> [];
+'ibm-not-wf-P81-ibm81n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n08'(suite) -> [];
+'ibm-not-wf-P81-ibm81n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n07'(suite) -> [];
+'ibm-not-wf-P81-ibm81n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n06'(suite) -> [];
+'ibm-not-wf-P81-ibm81n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n05'(suite) -> [];
+'ibm-not-wf-P81-ibm81n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n04'(suite) -> [];
+'ibm-not-wf-P81-ibm81n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n03'(suite) -> [];
+'ibm-not-wf-P81-ibm81n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n02'(suite) -> [];
+'ibm-not-wf-P81-ibm81n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P81-ibm81n01'(suite) -> [];
+'ibm-not-wf-P81-ibm81n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P81-ibm81n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P80-ibm80n06'(suite) -> [];
+'ibm-not-wf-P80-ibm80n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P80-ibm80n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P80-ibm80n05'(suite) -> [];
+'ibm-not-wf-P80-ibm80n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P80-ibm80n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P80-ibm80n04'(suite) -> [];
+'ibm-not-wf-P80-ibm80n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P80-ibm80n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P80-ibm80n03'(suite) -> [];
+'ibm-not-wf-P80-ibm80n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P80-ibm80n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P80-ibm80n02'(suite) -> [];
+'ibm-not-wf-P80-ibm80n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P80-ibm80n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P80-ibm80n01'(suite) -> [];
+'ibm-not-wf-P80-ibm80n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P80-ibm80n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P79-ibm79n02'(suite) -> [];
+'ibm-not-wf-P79-ibm79n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P79-ibm79n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P79-ibm79n01'(suite) -> [];
+'ibm-not-wf-P79-ibm79n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P79-ibm79n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P78-ibm78n02'(suite) -> [];
+'ibm-not-wf-P78-ibm78n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P78-ibm78n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P78-ibm78n01'(suite) -> [];
+'ibm-not-wf-P78-ibm78n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P78-ibm78n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P77-ibm77n04'(suite) -> [];
+'ibm-not-wf-P77-ibm77n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P77-ibm77n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P77-ibm77n03'(suite) -> [];
+'ibm-not-wf-P77-ibm77n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P77-ibm77n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P77-ibm77n02'(suite) -> [];
+'ibm-not-wf-P77-ibm77n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P77-ibm77n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P77-ibm77n01'(suite) -> [];
+'ibm-not-wf-P77-ibm77n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P77-ibm77n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P76-ibm76n07'(suite) -> [];
+'ibm-not-wf-P76-ibm76n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P76-ibm76n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P76-ibm76n06'(suite) -> [];
+'ibm-not-wf-P76-ibm76n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P76-ibm76n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P76-ibm76n05'(suite) -> [];
+'ibm-not-wf-P76-ibm76n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P76-ibm76n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P76-ibm76n04'(suite) -> [];
+'ibm-not-wf-P76-ibm76n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P76-ibm76n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P76-ibm76n03'(suite) -> [];
+'ibm-not-wf-P76-ibm76n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P76-ibm76n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P76-ibm76n02'(suite) -> [];
+'ibm-not-wf-P76-ibm76n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P76-ibm76n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P76-ibm76n01'(suite) -> [];
+'ibm-not-wf-P76-ibm76n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P76-ibm76n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n13'(suite) -> [];
+'ibm-not-wf-P75-ibm75n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n12'(suite) -> [];
+'ibm-not-wf-P75-ibm75n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n11'(suite) -> [];
+'ibm-not-wf-P75-ibm75n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n10'(suite) -> [];
+'ibm-not-wf-P75-ibm75n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n09'(suite) -> [];
+'ibm-not-wf-P75-ibm75n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n08'(suite) -> [];
+'ibm-not-wf-P75-ibm75n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n07'(suite) -> [];
+'ibm-not-wf-P75-ibm75n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n06'(suite) -> [];
+'ibm-not-wf-P75-ibm75n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n05'(suite) -> [];
+'ibm-not-wf-P75-ibm75n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n04'(suite) -> [];
+'ibm-not-wf-P75-ibm75n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n03'(suite) -> [];
+'ibm-not-wf-P75-ibm75n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n02'(suite) -> [];
+'ibm-not-wf-P75-ibm75n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P75-ibm75n01'(suite) -> [];
+'ibm-not-wf-P75-ibm75n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P75-ibm75n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P74-ibm74n01'(suite) -> [];
+'ibm-not-wf-P74-ibm74n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P74-ibm74n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P73-ibm73n03'(suite) -> [];
+'ibm-not-wf-P73-ibm73n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P73-ibm73n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P73-ibm73n01'(suite) -> [];
+'ibm-not-wf-P73-ibm73n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P73-ibm73n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n09'(suite) -> [];
+'ibm-not-wf-P72-ibm72n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n08'(suite) -> [];
+'ibm-not-wf-P72-ibm72n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n07'(suite) -> [];
+'ibm-not-wf-P72-ibm72n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n06'(suite) -> [];
+'ibm-not-wf-P72-ibm72n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n05'(suite) -> [];
+'ibm-not-wf-P72-ibm72n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n04'(suite) -> [];
+'ibm-not-wf-P72-ibm72n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n03'(suite) -> [];
+'ibm-not-wf-P72-ibm72n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n02'(suite) -> [];
+'ibm-not-wf-P72-ibm72n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P72-ibm72n01'(suite) -> [];
+'ibm-not-wf-P72-ibm72n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P72-ibm72n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n08'(suite) -> [];
+'ibm-not-wf-P71-ibm71n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n07'(suite) -> [];
+'ibm-not-wf-P71-ibm71n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n06'(suite) -> [];
+'ibm-not-wf-P71-ibm71n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n05'(suite) -> [];
+'ibm-not-wf-P71-ibm71n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n04'(suite) -> [];
+'ibm-not-wf-P71-ibm71n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n03'(suite) -> [];
+'ibm-not-wf-P71-ibm71n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n02'(suite) -> [];
+'ibm-not-wf-P71-ibm71n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm71n01'(suite) -> [];
+'ibm-not-wf-P71-ibm71n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm71n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P71-ibm70n01'(suite) -> [];
+'ibm-not-wf-P71-ibm70n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P71-ibm70n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P69-ibm69n07'(suite) -> [];
+'ibm-not-wf-P69-ibm69n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P69-ibm69n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P69-ibm69n06'(suite) -> [];
+'ibm-not-wf-P69-ibm69n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P69-ibm69n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P69-ibm69n05'(suite) -> [];
+'ibm-not-wf-P69-ibm69n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P69-ibm69n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P69-ibm69n04'(suite) -> [];
+'ibm-not-wf-P69-ibm69n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P69-ibm69n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P69-ibm69n03'(suite) -> [];
+'ibm-not-wf-P69-ibm69n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P69-ibm69n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P69-ibm69n02'(suite) -> [];
+'ibm-not-wf-P69-ibm69n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P69-ibm69n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P69-ibm69n01'(suite) -> [];
+'ibm-not-wf-P69-ibm69n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P69-ibm69n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n10'(suite) -> [];
+'ibm-not-wf-P68-ibm68n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n09'(suite) -> [];
+'ibm-not-wf-P68-ibm68n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n08'(suite) -> [];
+'ibm-not-wf-P68-ibm68n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n07'(suite) -> [];
+'ibm-not-wf-P68-ibm68n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n06'(suite) -> [];
+'ibm-not-wf-P68-ibm68n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n05'(suite) -> [];
+'ibm-not-wf-P68-ibm68n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n04'(suite) -> [];
+'ibm-not-wf-P68-ibm68n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n03'(suite) -> [];
+'ibm-not-wf-P68-ibm68n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n02'(suite) -> [];
+'ibm-not-wf-P68-ibm68n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P68-ibm68n01'(suite) -> [];
+'ibm-not-wf-P68-ibm68n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P68-ibm68n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n15'(suite) -> [];
+'ibm-not-wf-P66-ibm66n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n14'(suite) -> [];
+'ibm-not-wf-P66-ibm66n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n13'(suite) -> [];
+'ibm-not-wf-P66-ibm66n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n12'(suite) -> [];
+'ibm-not-wf-P66-ibm66n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n11'(suite) -> [];
+'ibm-not-wf-P66-ibm66n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n10'(suite) -> [];
+'ibm-not-wf-P66-ibm66n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n09'(suite) -> [];
+'ibm-not-wf-P66-ibm66n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n08'(suite) -> [];
+'ibm-not-wf-P66-ibm66n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n07'(suite) -> [];
+'ibm-not-wf-P66-ibm66n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n06'(suite) -> [];
+'ibm-not-wf-P66-ibm66n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n05'(suite) -> [];
+'ibm-not-wf-P66-ibm66n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n04'(suite) -> [];
+'ibm-not-wf-P66-ibm66n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n03'(suite) -> [];
+'ibm-not-wf-P66-ibm66n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n02'(suite) -> [];
+'ibm-not-wf-P66-ibm66n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P66-ibm66n01'(suite) -> [];
+'ibm-not-wf-P66-ibm66n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P66-ibm66n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P65-ibm65n02'(suite) -> [];
+'ibm-not-wf-P65-ibm65n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P65-ibm65n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P65-ibm65n01'(suite) -> [];
+'ibm-not-wf-P65-ibm65n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P65-ibm65n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P64-ibm64n03'(suite) -> [];
+'ibm-not-wf-P64-ibm64n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P64-ibm64n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P64-ibm64n02'(suite) -> [];
+'ibm-not-wf-P64-ibm64n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P64-ibm64n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P64-ibm64n01'(suite) -> [];
+'ibm-not-wf-P64-ibm64n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P64-ibm64n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P63-ibm63n07'(suite) -> [];
+'ibm-not-wf-P63-ibm63n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P63-ibm63n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P63-ibm63n06'(suite) -> [];
+'ibm-not-wf-P63-ibm63n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P63-ibm63n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P63-ibm63n05'(suite) -> [];
+'ibm-not-wf-P63-ibm63n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P63-ibm63n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P63-ibm63n04'(suite) -> [];
+'ibm-not-wf-P63-ibm63n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P63-ibm63n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P63-ibm63n03'(suite) -> [];
+'ibm-not-wf-P63-ibm63n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P63-ibm63n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P63-ibm63n02'(suite) -> [];
+'ibm-not-wf-P63-ibm63n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P63-ibm63n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P63-ibm63n01'(suite) -> [];
+'ibm-not-wf-P63-ibm63n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P63-ibm63n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n08'(suite) -> [];
+'ibm-not-wf-P62-ibm62n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n07'(suite) -> [];
+'ibm-not-wf-P62-ibm62n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n06'(suite) -> [];
+'ibm-not-wf-P62-ibm62n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n05'(suite) -> [];
+'ibm-not-wf-P62-ibm62n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n04'(suite) -> [];
+'ibm-not-wf-P62-ibm62n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n03'(suite) -> [];
+'ibm-not-wf-P62-ibm62n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n02'(suite) -> [];
+'ibm-not-wf-P62-ibm62n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P62-ibm62n01'(suite) -> [];
+'ibm-not-wf-P62-ibm62n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P62-ibm62n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P61-ibm61n01'(suite) -> [];
+'ibm-not-wf-P61-ibm61n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P61-ibm61n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n08'(suite) -> [];
+'ibm-not-wf-P60-ibm60n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n07'(suite) -> [];
+'ibm-not-wf-P60-ibm60n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n06'(suite) -> [];
+'ibm-not-wf-P60-ibm60n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n05'(suite) -> [];
+'ibm-not-wf-P60-ibm60n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n04'(suite) -> [];
+'ibm-not-wf-P60-ibm60n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n03'(suite) -> [];
+'ibm-not-wf-P60-ibm60n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n02'(suite) -> [];
+'ibm-not-wf-P60-ibm60n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P60-ibm60n01'(suite) -> [];
+'ibm-not-wf-P60-ibm60n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P60-ibm60n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P59-ibm59n06'(suite) -> [];
+'ibm-not-wf-P59-ibm59n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P59-ibm59n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P59-ibm59n05'(suite) -> [];
+'ibm-not-wf-P59-ibm59n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P59-ibm59n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P59-ibm59n04'(suite) -> [];
+'ibm-not-wf-P59-ibm59n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P59-ibm59n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P59-ibm59n03'(suite) -> [];
+'ibm-not-wf-P59-ibm59n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P59-ibm59n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P59-ibm59n02'(suite) -> [];
+'ibm-not-wf-P59-ibm59n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P59-ibm59n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P59-ibm59n01'(suite) -> [];
+'ibm-not-wf-P59-ibm59n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P59-ibm59n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n08'(suite) -> [];
+'ibm-not-wf-P58-ibm58n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n07'(suite) -> [];
+'ibm-not-wf-P58-ibm58n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n06'(suite) -> [];
+'ibm-not-wf-P58-ibm58n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n05'(suite) -> [];
+'ibm-not-wf-P58-ibm58n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n04'(suite) -> [];
+'ibm-not-wf-P58-ibm58n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n03'(suite) -> [];
+'ibm-not-wf-P58-ibm58n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n02'(suite) -> [];
+'ibm-not-wf-P58-ibm58n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P58-ibm58n01'(suite) -> [];
+'ibm-not-wf-P58-ibm58n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P58-ibm58n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P57-ibm57n01'(suite) -> [];
+'ibm-not-wf-P57-ibm57n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P57-ibm57n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P56-ibm56n07'(suite) -> [];
+'ibm-not-wf-P56-ibm56n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P56-ibm56n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P56-ibm56n06'(suite) -> [];
+'ibm-not-wf-P56-ibm56n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P56-ibm56n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P56-ibm56n05'(suite) -> [];
+'ibm-not-wf-P56-ibm56n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P56-ibm56n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P56-ibm56n04'(suite) -> [];
+'ibm-not-wf-P56-ibm56n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P56-ibm56n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P56-ibm56n03'(suite) -> [];
+'ibm-not-wf-P56-ibm56n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P56-ibm56n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P56-ibm56n02'(suite) -> [];
+'ibm-not-wf-P56-ibm56n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P56-ibm56n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P56-ibm56n01'(suite) -> [];
+'ibm-not-wf-P56-ibm56n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P56-ibm56n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P55-ibm55n03'(suite) -> [];
+'ibm-not-wf-P55-ibm55n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P55-ibm55n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P55-ibm55n02'(suite) -> [];
+'ibm-not-wf-P55-ibm55n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P55-ibm55n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P55-ibm55n01'(suite) -> [];
+'ibm-not-wf-P55-ibm55n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P55-ibm55n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P54-ibm54n02'(suite) -> [];
+'ibm-not-wf-P54-ibm54n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P54-ibm54n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P54-ibm54n01'(suite) -> [];
+'ibm-not-wf-P54-ibm54n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P54-ibm54n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n08'(suite) -> [];
+'ibm-not-wf-P53-ibm53n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n07'(suite) -> [];
+'ibm-not-wf-P53-ibm53n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n06'(suite) -> [];
+'ibm-not-wf-P53-ibm53n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n05'(suite) -> [];
+'ibm-not-wf-P53-ibm53n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n04'(suite) -> [];
+'ibm-not-wf-P53-ibm53n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n03'(suite) -> [];
+'ibm-not-wf-P53-ibm53n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n02'(suite) -> [];
+'ibm-not-wf-P53-ibm53n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P53-ibm53n01'(suite) -> [];
+'ibm-not-wf-P53-ibm53n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P53-ibm53n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P52-ibm52n06'(suite) -> [];
+'ibm-not-wf-P52-ibm52n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P52-ibm52n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P52-ibm52n05'(suite) -> [];
+'ibm-not-wf-P52-ibm52n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P52-ibm52n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P52-ibm52n04'(suite) -> [];
+'ibm-not-wf-P52-ibm52n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P52-ibm52n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P52-ibm52n03'(suite) -> [];
+'ibm-not-wf-P52-ibm52n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P52-ibm52n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P52-ibm52n02'(suite) -> [];
+'ibm-not-wf-P52-ibm52n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P52-ibm52n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P52-ibm52n01'(suite) -> [];
+'ibm-not-wf-P52-ibm52n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P52-ibm52n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P51-ibm51n07'(suite) -> [];
+'ibm-not-wf-P51-ibm51n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P51-ibm51n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P51-ibm51n06'(suite) -> [];
+'ibm-not-wf-P51-ibm51n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P51-ibm51n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P51-ibm51n05'(suite) -> [];
+'ibm-not-wf-P51-ibm51n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P51-ibm51n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P51-ibm51n04'(suite) -> [];
+'ibm-not-wf-P51-ibm51n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P51-ibm51n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P51-ibm51n03'(suite) -> [];
+'ibm-not-wf-P51-ibm51n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P51-ibm51n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P51-ibm51n02'(suite) -> [];
+'ibm-not-wf-P51-ibm51n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P51-ibm51n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P51-ibm51n01'(suite) -> [];
+'ibm-not-wf-P51-ibm51n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P51-ibm51n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P50-ibm50n07'(suite) -> [];
+'ibm-not-wf-P50-ibm50n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P50-ibm50n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P50-ibm50n06'(suite) -> [];
+'ibm-not-wf-P50-ibm50n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P50-ibm50n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P50-ibm50n05'(suite) -> [];
+'ibm-not-wf-P50-ibm50n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P50-ibm50n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P50-ibm50n04'(suite) -> [];
+'ibm-not-wf-P50-ibm50n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P50-ibm50n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P50-ibm50n03'(suite) -> [];
+'ibm-not-wf-P50-ibm50n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P50-ibm50n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P50-ibm50n02'(suite) -> [];
+'ibm-not-wf-P50-ibm50n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P50-ibm50n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P50-ibm50n01'(suite) -> [];
+'ibm-not-wf-P50-ibm50n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P50-ibm50n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P49-ibm49n06'(suite) -> [];
+'ibm-not-wf-P49-ibm49n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P49-ibm49n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P49-ibm49n05'(suite) -> [];
+'ibm-not-wf-P49-ibm49n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P49-ibm49n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P49-ibm49n04'(suite) -> [];
+'ibm-not-wf-P49-ibm49n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P49-ibm49n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P49-ibm49n03'(suite) -> [];
+'ibm-not-wf-P49-ibm49n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P49-ibm49n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P49-ibm49n02'(suite) -> [];
+'ibm-not-wf-P49-ibm49n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P49-ibm49n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P49-ibm49n01'(suite) -> [];
+'ibm-not-wf-P49-ibm49n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P49-ibm49n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P48-ibm48n07'(suite) -> [];
+'ibm-not-wf-P48-ibm48n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P48-ibm48n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P48-ibm48n06'(suite) -> [];
+'ibm-not-wf-P48-ibm48n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P48-ibm48n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P48-ibm48n05'(suite) -> [];
+'ibm-not-wf-P48-ibm48n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P48-ibm48n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P48-ibm48n04'(suite) -> [];
+'ibm-not-wf-P48-ibm48n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P48-ibm48n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P48-ibm48n03'(suite) -> [];
+'ibm-not-wf-P48-ibm48n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P48-ibm48n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P48-ibm48n02'(suite) -> [];
+'ibm-not-wf-P48-ibm48n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P48-ibm48n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P48-ibm48n01'(suite) -> [];
+'ibm-not-wf-P48-ibm48n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P48-ibm48n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P47-ibm47n06'(suite) -> [];
+'ibm-not-wf-P47-ibm47n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P47-ibm47n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P47-ibm47n05'(suite) -> [];
+'ibm-not-wf-P47-ibm47n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P47-ibm47n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P47-ibm47n04'(suite) -> [];
+'ibm-not-wf-P47-ibm47n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P47-ibm47n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P47-ibm47n03'(suite) -> [];
+'ibm-not-wf-P47-ibm47n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P47-ibm47n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P47-ibm47n02'(suite) -> [];
+'ibm-not-wf-P47-ibm47n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P47-ibm47n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P47-ibm47n01'(suite) -> [];
+'ibm-not-wf-P47-ibm47n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P47-ibm47n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P46-ibm46n05'(suite) -> [];
+'ibm-not-wf-P46-ibm46n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P46-ibm46n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P46-ibm46n04'(suite) -> [];
+'ibm-not-wf-P46-ibm46n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P46-ibm46n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P46-ibm46n03'(suite) -> [];
+'ibm-not-wf-P46-ibm46n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P46-ibm46n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P46-ibm46n02'(suite) -> [];
+'ibm-not-wf-P46-ibm46n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P46-ibm46n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P46-ibm46n01'(suite) -> [];
+'ibm-not-wf-P46-ibm46n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P46-ibm46n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n09'(suite) -> [];
+'ibm-not-wf-P45-ibm45n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n08'(suite) -> [];
+'ibm-not-wf-P45-ibm45n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n07'(suite) -> [];
+'ibm-not-wf-P45-ibm45n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n06'(suite) -> [];
+'ibm-not-wf-P45-ibm45n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n05'(suite) -> [];
+'ibm-not-wf-P45-ibm45n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n04'(suite) -> [];
+'ibm-not-wf-P45-ibm45n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n03'(suite) -> [];
+'ibm-not-wf-P45-ibm45n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n02'(suite) -> [];
+'ibm-not-wf-P45-ibm45n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P45-ibm45n01'(suite) -> [];
+'ibm-not-wf-P45-ibm45n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P45-ibm45n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P44-ibm44n04'(suite) -> [];
+'ibm-not-wf-P44-ibm44n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P44-ibm44n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P44-ibm44n03'(suite) -> [];
+'ibm-not-wf-P44-ibm44n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P44-ibm44n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P44-ibm44n02'(suite) -> [];
+'ibm-not-wf-P44-ibm44n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P44-ibm44n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P44-ibm44n01'(suite) -> [];
+'ibm-not-wf-P44-ibm44n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P44-ibm44n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P43-ibm43n05'(suite) -> [];
+'ibm-not-wf-P43-ibm43n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P43-ibm43n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P43-ibm43n04'(suite) -> [];
+'ibm-not-wf-P43-ibm43n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P43-ibm43n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P43-ibm43n02'(suite) -> [];
+'ibm-not-wf-P43-ibm43n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P43-ibm43n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P43-ibm43n01'(suite) -> [];
+'ibm-not-wf-P43-ibm43n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P43-ibm43n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P42-ibm42n05'(suite) -> [];
+'ibm-not-wf-P42-ibm42n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P42-ibm42n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P42-ibm42n04'(suite) -> [];
+'ibm-not-wf-P42-ibm42n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P42-ibm42n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P42-ibm42n03'(suite) -> [];
+'ibm-not-wf-P42-ibm42n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P42-ibm42n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P42-ibm42n02'(suite) -> [];
+'ibm-not-wf-P42-ibm42n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P42-ibm42n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P42-ibm42n01'(suite) -> [];
+'ibm-not-wf-P42-ibm42n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P42-ibm42n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n14'(suite) -> [];
+'ibm-not-wf-P41-ibm41n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n13'(suite) -> [];
+'ibm-not-wf-P41-ibm41n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n12'(suite) -> [];
+'ibm-not-wf-P41-ibm41n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n11'(suite) -> [];
+'ibm-not-wf-P41-ibm41n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n10'(suite) -> [];
+'ibm-not-wf-P41-ibm41n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n09'(suite) -> [];
+'ibm-not-wf-P41-ibm41n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n08'(suite) -> [];
+'ibm-not-wf-P41-ibm41n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n07'(suite) -> [];
+'ibm-not-wf-P41-ibm41n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n06'(suite) -> [];
+'ibm-not-wf-P41-ibm41n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n05'(suite) -> [];
+'ibm-not-wf-P41-ibm41n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n04'(suite) -> [];
+'ibm-not-wf-P41-ibm41n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n03'(suite) -> [];
+'ibm-not-wf-P41-ibm41n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n02'(suite) -> [];
+'ibm-not-wf-P41-ibm41n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P41-ibm41n01'(suite) -> [];
+'ibm-not-wf-P41-ibm41n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P41-ibm41n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P40-ibm40n05'(suite) -> [];
+'ibm-not-wf-P40-ibm40n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P40-ibm40n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P40-ibm40n04'(suite) -> [];
+'ibm-not-wf-P40-ibm40n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P40-ibm40n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P40-ibm40n03'(suite) -> [];
+'ibm-not-wf-P40-ibm40n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P40-ibm40n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P40-ibm40n02'(suite) -> [];
+'ibm-not-wf-P40-ibm40n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P40-ibm40n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P40-ibm40n01'(suite) -> [];
+'ibm-not-wf-P40-ibm40n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P40-ibm40n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P39-ibm39n06'(suite) -> [];
+'ibm-not-wf-P39-ibm39n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P39-ibm39n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P39-ibm39n05'(suite) -> [];
+'ibm-not-wf-P39-ibm39n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P39-ibm39n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P39-ibm39n04'(suite) -> [];
+'ibm-not-wf-P39-ibm39n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P39-ibm39n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P39-ibm39n03'(suite) -> [];
+'ibm-not-wf-P39-ibm39n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P39-ibm39n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P39-ibm39n02'(suite) -> [];
+'ibm-not-wf-P39-ibm39n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P39-ibm39n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P39-ibm39n01'(suite) -> [];
+'ibm-not-wf-P39-ibm39n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P39-ibm39n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n09'(suite) -> [];
+'ibm-not-wf-P32-ibm32n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n08'(suite) -> [];
+'ibm-not-wf-P32-ibm32n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n07'(suite) -> [];
+'ibm-not-wf-P32-ibm32n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n06'(suite) -> [];
+'ibm-not-wf-P32-ibm32n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n05'(suite) -> [];
+'ibm-not-wf-P32-ibm32n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n04'(suite) -> [];
+'ibm-not-wf-P32-ibm32n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n03'(suite) -> [];
+'ibm-not-wf-P32-ibm32n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n02'(suite) -> [];
+'ibm-not-wf-P32-ibm32n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P32-ibm32n01'(suite) -> [];
+'ibm-not-wf-P32-ibm32n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P32-ibm32n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P31-ibm31n01'(suite) -> [];
+'ibm-not-wf-P31-ibm31n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P31-ibm31n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P30-ibm30n01'(suite) -> [];
+'ibm-not-wf-P30-ibm30n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P30-ibm30n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P29-ibm29n07'(suite) -> [];
+'ibm-not-wf-P29-ibm29n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P29-ibm29n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P29-ibm29n06'(suite) -> [];
+'ibm-not-wf-P29-ibm29n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P29-ibm29n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P29-ibm29n05'(suite) -> [];
+'ibm-not-wf-P29-ibm29n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P29-ibm29n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P29-ibm29n04'(suite) -> [];
+'ibm-not-wf-P29-ibm29n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P29-ibm29n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P29-ibm29n03'(suite) -> [];
+'ibm-not-wf-P29-ibm29n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P29-ibm29n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P29-ibm29n02'(suite) -> [];
+'ibm-not-wf-P29-ibm29n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P29-ibm29n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P29-ibm29n01'(suite) -> [];
+'ibm-not-wf-P29-ibm29n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P29-ibm29n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28a-ibm28an01'(suite) -> [];
+'ibm-not-wf-P28a-ibm28an01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28a-ibm28an01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n08'(suite) -> [];
+'ibm-not-wf-P28-ibm28n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n07'(suite) -> [];
+'ibm-not-wf-P28-ibm28n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n06'(suite) -> [];
+'ibm-not-wf-P28-ibm28n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n05'(suite) -> [];
+'ibm-not-wf-P28-ibm28n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n04'(suite) -> [];
+'ibm-not-wf-P28-ibm28n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n03'(suite) -> [];
+'ibm-not-wf-P28-ibm28n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n02'(suite) -> [];
+'ibm-not-wf-P28-ibm28n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P28-ibm28n01'(suite) -> [];
+'ibm-not-wf-P28-ibm28n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P28-ibm28n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P27-ibm27n01'(suite) -> [];
+'ibm-not-wf-P27-ibm27n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P27-ibm27n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P26-ibm26n01'(suite) -> [];
+'ibm-not-wf-P26-ibm26n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P26-ibm26n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P25-ibm25n02'(suite) -> [];
+'ibm-not-wf-P25-ibm25n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P25-ibm25n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P25-ibm25n01'(suite) -> [];
+'ibm-not-wf-P25-ibm25n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P25-ibm25n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n09'(suite) -> [];
+'ibm-not-wf-P24-ibm24n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n08'(suite) -> [];
+'ibm-not-wf-P24-ibm24n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n07'(suite) -> [];
+'ibm-not-wf-P24-ibm24n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n06'(suite) -> [];
+'ibm-not-wf-P24-ibm24n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n05'(suite) -> [];
+'ibm-not-wf-P24-ibm24n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n04'(suite) -> [];
+'ibm-not-wf-P24-ibm24n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n03'(suite) -> [];
+'ibm-not-wf-P24-ibm24n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n02'(suite) -> [];
+'ibm-not-wf-P24-ibm24n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P24-ibm24n01'(suite) -> [];
+'ibm-not-wf-P24-ibm24n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P24-ibm24n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P23-ibm23n06'(suite) -> [];
+'ibm-not-wf-P23-ibm23n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P23-ibm23n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P23-ibm23n05'(suite) -> [];
+'ibm-not-wf-P23-ibm23n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P23-ibm23n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P23-ibm23n04'(suite) -> [];
+'ibm-not-wf-P23-ibm23n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P23-ibm23n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P23-ibm23n03'(suite) -> [];
+'ibm-not-wf-P23-ibm23n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P23-ibm23n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P23-ibm23n02'(suite) -> [];
+'ibm-not-wf-P23-ibm23n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P23-ibm23n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P23-ibm23n01'(suite) -> [];
+'ibm-not-wf-P23-ibm23n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P23-ibm23n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P22-ibm22n03'(suite) -> [];
+'ibm-not-wf-P22-ibm22n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P22-ibm22n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P22-ibm22n02'(suite) -> [];
+'ibm-not-wf-P22-ibm22n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P22-ibm22n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P22-ibm22n01'(suite) -> [];
+'ibm-not-wf-P22-ibm22n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P22-ibm22n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P21-ibm21n03'(suite) -> [];
+'ibm-not-wf-P21-ibm21n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P21-ibm21n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P21-ibm21n02'(suite) -> [];
+'ibm-not-wf-P21-ibm21n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P21-ibm21n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P21-ibm21n01'(suite) -> [];
+'ibm-not-wf-P21-ibm21n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P21-ibm21n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P20-ibm20n01'(suite) -> [];
+'ibm-not-wf-P20-ibm20n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P20-ibm20n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P19-ibm19n03'(suite) -> [];
+'ibm-not-wf-P19-ibm19n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P19-ibm19n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P19-ibm19n02'(suite) -> [];
+'ibm-not-wf-P19-ibm19n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P19-ibm19n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P19-ibm19n01'(suite) -> [];
+'ibm-not-wf-P19-ibm19n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P19-ibm19n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P18-ibm18n02'(suite) -> [];
+'ibm-not-wf-P18-ibm18n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P18-ibm18n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P18-ibm18n01'(suite) -> [];
+'ibm-not-wf-P18-ibm18n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P18-ibm18n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P17-ibm17n04'(suite) -> [];
+'ibm-not-wf-P17-ibm17n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P17-ibm17n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P17-ibm17n03'(suite) -> [];
+'ibm-not-wf-P17-ibm17n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P17-ibm17n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P17-ibm17n02'(suite) -> [];
+'ibm-not-wf-P17-ibm17n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P17-ibm17n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P17-ibm17n01'(suite) -> [];
+'ibm-not-wf-P17-ibm17n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P17-ibm17n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P16-ibm16n04'(suite) -> [];
+'ibm-not-wf-P16-ibm16n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P16-ibm16n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P16-ibm16n03'(suite) -> [];
+'ibm-not-wf-P16-ibm16n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P16-ibm16n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P16-ibm16n02'(suite) -> [];
+'ibm-not-wf-P16-ibm16n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P16-ibm16n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P16-ibm16n01'(suite) -> [];
+'ibm-not-wf-P16-ibm16n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P16-ibm16n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P15-ibm15n04'(suite) -> [];
+'ibm-not-wf-P15-ibm15n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P15-ibm15n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P15-ibm15n03'(suite) -> [];
+'ibm-not-wf-P15-ibm15n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P15-ibm15n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P15-ibm15n02'(suite) -> [];
+'ibm-not-wf-P15-ibm15n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P15-ibm15n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P15-ibm15n01'(suite) -> [];
+'ibm-not-wf-P15-ibm15n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P15-ibm15n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P14-ibm14n03'(suite) -> [];
+'ibm-not-wf-P14-ibm14n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P14-ibm14n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P14-ibm14n02'(suite) -> [];
+'ibm-not-wf-P14-ibm14n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P14-ibm14n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P14-ibm14n01'(suite) -> [];
+'ibm-not-wf-P14-ibm14n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P14-ibm14n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P13-ibm13n03'(suite) -> [];
+'ibm-not-wf-P13-ibm13n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P13-ibm13n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P13-ibm13n02'(suite) -> [];
+'ibm-not-wf-P13-ibm13n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P13-ibm13n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P13-ibm13n01'(suite) -> [];
+'ibm-not-wf-P13-ibm13n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P13-ibm13n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P12-ibm12n03'(suite) -> [];
+'ibm-not-wf-P12-ibm12n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P12-ibm12n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P12-ibm12n02'(suite) -> [];
+'ibm-not-wf-P12-ibm12n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P12-ibm12n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P12-ibm12n01'(suite) -> [];
+'ibm-not-wf-P12-ibm12n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P12-ibm12n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P11-ibm11n04'(suite) -> [];
+'ibm-not-wf-P11-ibm11n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P11-ibm11n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P11-ibm11n03'(suite) -> [];
+'ibm-not-wf-P11-ibm11n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P11-ibm11n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P11-ibm11n02'(suite) -> [];
+'ibm-not-wf-P11-ibm11n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P11-ibm11n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P11-ibm11n01'(suite) -> [];
+'ibm-not-wf-P11-ibm11n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P11-ibm11n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n08'(suite) -> [];
+'ibm-not-wf-P10-ibm10n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n07'(suite) -> [];
+'ibm-not-wf-P10-ibm10n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n06'(suite) -> [];
+'ibm-not-wf-P10-ibm10n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n05'(suite) -> [];
+'ibm-not-wf-P10-ibm10n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n04'(suite) -> [];
+'ibm-not-wf-P10-ibm10n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n03'(suite) -> [];
+'ibm-not-wf-P10-ibm10n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n02'(suite) -> [];
+'ibm-not-wf-P10-ibm10n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P10-ibm10n01'(suite) -> [];
+'ibm-not-wf-P10-ibm10n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P10-ibm10n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P09-ibm09n04'(suite) -> [];
+'ibm-not-wf-P09-ibm09n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P09-ibm09n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P09-ibm09n03'(suite) -> [];
+'ibm-not-wf-P09-ibm09n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P09-ibm09n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P09-ibm09n02'(suite) -> [];
+'ibm-not-wf-P09-ibm09n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P09-ibm09n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P09-ibm09n01'(suite) -> [];
+'ibm-not-wf-P09-ibm09n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P09-ibm09n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P05-ibm05n03'(suite) -> [];
+'ibm-not-wf-P05-ibm05n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P05-ibm05n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P05-ibm05n02'(suite) -> [];
+'ibm-not-wf-P05-ibm05n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P05-ibm05n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P05-ibm05n01'(suite) -> [];
+'ibm-not-wf-P05-ibm05n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P05-ibm05n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n18'(suite) -> [];
+'ibm-not-wf-P04-ibm04n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n18.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n17'(suite) -> [];
+'ibm-not-wf-P04-ibm04n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n17.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n16'(suite) -> [];
+'ibm-not-wf-P04-ibm04n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n15'(suite) -> [];
+'ibm-not-wf-P04-ibm04n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n14'(suite) -> [];
+'ibm-not-wf-P04-ibm04n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n13'(suite) -> [];
+'ibm-not-wf-P04-ibm04n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n12'(suite) -> [];
+'ibm-not-wf-P04-ibm04n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n11'(suite) -> [];
+'ibm-not-wf-P04-ibm04n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n10'(suite) -> [];
+'ibm-not-wf-P04-ibm04n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n09'(suite) -> [];
+'ibm-not-wf-P04-ibm04n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n08'(suite) -> [];
+'ibm-not-wf-P04-ibm04n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n07'(suite) -> [];
+'ibm-not-wf-P04-ibm04n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n06'(suite) -> [];
+'ibm-not-wf-P04-ibm04n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n05'(suite) -> [];
+'ibm-not-wf-P04-ibm04n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n04'(suite) -> [];
+'ibm-not-wf-P04-ibm04n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n03'(suite) -> [];
+'ibm-not-wf-P04-ibm04n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n02'(suite) -> [];
+'ibm-not-wf-P04-ibm04n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P04-ibm04n01'(suite) -> [];
+'ibm-not-wf-P04-ibm04n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P04-ibm04n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P03-ibm03n01'(suite) -> [];
+'ibm-not-wf-P03-ibm03n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P03-ibm03n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n33'(suite) -> [];
+'ibm-not-wf-P02-ibm02n33'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n33.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n32'(suite) -> [];
+'ibm-not-wf-P02-ibm02n32'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n32.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n31'(suite) -> [];
+'ibm-not-wf-P02-ibm02n31'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n31.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n30'(suite) -> [];
+'ibm-not-wf-P02-ibm02n30'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n30.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n29'(suite) -> [];
+'ibm-not-wf-P02-ibm02n29'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n29.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n28'(suite) -> [];
+'ibm-not-wf-P02-ibm02n28'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n28.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n27'(suite) -> [];
+'ibm-not-wf-P02-ibm02n27'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n27.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n26'(suite) -> [];
+'ibm-not-wf-P02-ibm02n26'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n26.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n25'(suite) -> [];
+'ibm-not-wf-P02-ibm02n25'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n25.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n24'(suite) -> [];
+'ibm-not-wf-P02-ibm02n24'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n24.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n23'(suite) -> [];
+'ibm-not-wf-P02-ibm02n23'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n23.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n22'(suite) -> [];
+'ibm-not-wf-P02-ibm02n22'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n22.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n21'(suite) -> [];
+'ibm-not-wf-P02-ibm02n21'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n21.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n20'(suite) -> [];
+'ibm-not-wf-P02-ibm02n20'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n20.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n19'(suite) -> [];
+'ibm-not-wf-P02-ibm02n19'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n19.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n18'(suite) -> [];
+'ibm-not-wf-P02-ibm02n18'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n18.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n17'(suite) -> [];
+'ibm-not-wf-P02-ibm02n17'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n17.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n16'(suite) -> [];
+'ibm-not-wf-P02-ibm02n16'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n16.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n15'(suite) -> [];
+'ibm-not-wf-P02-ibm02n15'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n15.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n14'(suite) -> [];
+'ibm-not-wf-P02-ibm02n14'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n14.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n13'(suite) -> [];
+'ibm-not-wf-P02-ibm02n13'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n13.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n12'(suite) -> [];
+'ibm-not-wf-P02-ibm02n12'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n12.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n11'(suite) -> [];
+'ibm-not-wf-P02-ibm02n11'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n11.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n10'(suite) -> [];
+'ibm-not-wf-P02-ibm02n10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n09'(suite) -> [];
+'ibm-not-wf-P02-ibm02n09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n08'(suite) -> [];
+'ibm-not-wf-P02-ibm02n08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n07'(suite) -> [];
+'ibm-not-wf-P02-ibm02n07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n06'(suite) -> [];
+'ibm-not-wf-P02-ibm02n06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n05'(suite) -> [];
+'ibm-not-wf-P02-ibm02n05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n04'(suite) -> [];
+'ibm-not-wf-P02-ibm02n04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n03'(suite) -> [];
+'ibm-not-wf-P02-ibm02n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n02'(suite) -> [];
+'ibm-not-wf-P02-ibm02n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P02-ibm02n01'(suite) -> [];
+'ibm-not-wf-P02-ibm02n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P02-ibm02n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P01-ibm01n03'(suite) -> [];
+'ibm-not-wf-P01-ibm01n03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P01-ibm01n03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P01-ibm01n02'(suite) -> [];
+'ibm-not-wf-P01-ibm01n02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P01-ibm01n02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-not-wf-P01-ibm01n01'(suite) -> [];
+'ibm-not-wf-P01-ibm01n01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-not-wf-P01-ibm01n01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+%%----------------------------------------------------------------------
+
+'ibm-valid-P89-ibm89v01'(suite) -> [];
+'ibm-valid-P89-ibm89v01'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P89-ibm89v01.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle name containing characters > x#ff, since they are converted to atoms"]}.
+
+'ibm-valid-P88-ibm88v01'(suite) -> [];
+'ibm-valid-P88-ibm88v01'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P88-ibm88v01.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle name containing characters > x#ff, since they are converted to atoms"]}.
+
+'ibm-valid-P87-ibm87v01'(suite) -> [];
+'ibm-valid-P87-ibm87v01'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P87-ibm87v01.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle name containing characters > x#ff, since they are converted to atoms"]}.
+
+'ibm-valid-P86-ibm86v01'(suite) -> [];
+'ibm-valid-P86-ibm86v01'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P86-ibm86v01.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle name containing characters > x#ff, since they are converted to atoms"]}.
+
+'ibm-valid-P85-ibm85v01'(suite) -> [];
+'ibm-valid-P85-ibm85v01'(_Config) ->
+% ?line file:set_cwd(?config(data_dir,Config)),
+% ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P85-ibm85v01.xml"]),[]),
+% ?line xmerl:export([A],xmerl_test).
+ {skip,["Fails to handle name containing characters > x#ff, since they are converted to atoms"]}.
+
+'ibm-valid-P82-ibm82v01'(suite) -> [];
+'ibm-valid-P82-ibm82v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P82-ibm82v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P79-ibm79v01'(suite) -> [];
+'ibm-valid-P79-ibm79v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P79-ibm79v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P78-ibm78v01'(suite) -> [];
+'ibm-valid-P78-ibm78v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P78-ibm78v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P70-ibm70v01'(suite) -> [];
+'ibm-valid-P70-ibm70v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P70-ibm70v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P69-ibm69v02'(suite) -> [];
+'ibm-valid-P69-ibm69v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P69-ibm69v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P69-ibm69v01'(suite) -> [];
+'ibm-valid-P69-ibm69v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P69-ibm69v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P68-ibm68v02'(suite) -> [];
+'ibm-valid-P68-ibm68v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P68-ibm68v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P68-ibm68v01'(suite) -> [];
+'ibm-valid-P68-ibm68v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P68-ibm68v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P67-ibm67v01'(suite) -> [];
+'ibm-valid-P67-ibm67v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P67-ibm67v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P66-ibm66v01'(suite) -> [];
+'ibm-valid-P66-ibm66v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P66-ibm66v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P65-ibm65v02'(suite) -> [];
+'ibm-valid-P65-ibm65v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P65-ibm65v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P65-ibm65v01'(suite) -> [];
+'ibm-valid-P65-ibm65v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P65-ibm65v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P64-ibm64v03'(suite) -> [];
+'ibm-valid-P64-ibm64v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P64-ibm64v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P64-ibm64v02'(suite) -> [];
+'ibm-valid-P64-ibm64v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P64-ibm64v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P64-ibm64v01'(suite) -> [];
+'ibm-valid-P64-ibm64v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P64-ibm64v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P63-ibm63v05'(suite) -> [];
+'ibm-valid-P63-ibm63v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P63-ibm63v05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P63-ibm63v04'(suite) -> [];
+'ibm-valid-P63-ibm63v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P63-ibm63v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P63-ibm63v03'(suite) -> [];
+'ibm-valid-P63-ibm63v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P63-ibm63v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P63-ibm63v02'(suite) -> [];
+'ibm-valid-P63-ibm63v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P63-ibm63v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P63-ibm63v01'(suite) -> [];
+'ibm-valid-P63-ibm63v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P63-ibm63v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P62-ibm62v05'(suite) -> [];
+'ibm-valid-P62-ibm62v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P62-ibm62v05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P62-ibm62v04'(suite) -> [];
+'ibm-valid-P62-ibm62v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P62-ibm62v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P62-ibm62v03'(suite) -> [];
+'ibm-valid-P62-ibm62v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P62-ibm62v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P62-ibm62v02'(suite) -> [];
+'ibm-valid-P62-ibm62v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P62-ibm62v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P62-ibm62v01'(suite) -> [];
+'ibm-valid-P62-ibm62v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P62-ibm62v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P61-ibm61v02'(suite) -> [];
+'ibm-valid-P61-ibm61v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P61-ibm61v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P61-ibm61v01'(suite) -> [];
+'ibm-valid-P61-ibm61v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P61-ibm61v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P60-ibm60v04'(suite) -> [];
+'ibm-valid-P60-ibm60v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P60-ibm60v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P60-ibm60v03'(suite) -> [];
+'ibm-valid-P60-ibm60v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P60-ibm60v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P60-ibm60v02'(suite) -> [];
+'ibm-valid-P60-ibm60v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P60-ibm60v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P60-ibm60v01'(suite) -> [];
+'ibm-valid-P60-ibm60v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P60-ibm60v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P59-ibm59v02'(suite) -> [];
+'ibm-valid-P59-ibm59v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P59-ibm59v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P59-ibm59v01'(suite) -> [];
+'ibm-valid-P59-ibm59v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P59-ibm59v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P58-ibm58v02'(suite) -> [];
+'ibm-valid-P58-ibm58v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P58-ibm58v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P58-ibm58v01'(suite) -> [];
+'ibm-valid-P58-ibm58v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P58-ibm58v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P57-ibm57v01'(suite) -> [];
+'ibm-valid-P57-ibm57v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P57-ibm57v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v10'(suite) -> [];
+'ibm-valid-P56-ibm56v10'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v10.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v09'(suite) -> [];
+'ibm-valid-P56-ibm56v09'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v09.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v08'(suite) -> [];
+'ibm-valid-P56-ibm56v08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v07'(suite) -> [];
+'ibm-valid-P56-ibm56v07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v06'(suite) -> [];
+'ibm-valid-P56-ibm56v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v05'(suite) -> [];
+'ibm-valid-P56-ibm56v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v04'(suite) -> [];
+'ibm-valid-P56-ibm56v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v03'(suite) -> [];
+'ibm-valid-P56-ibm56v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v02'(suite) -> [];
+'ibm-valid-P56-ibm56v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P56-ibm56v01'(suite) -> [];
+'ibm-valid-P56-ibm56v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P56-ibm56v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P55-ibm55v01'(suite) -> [];
+'ibm-valid-P55-ibm55v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P55-ibm55v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P54-ibm54v03'(suite) -> [];
+'ibm-valid-P54-ibm54v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P54-ibm54v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P54-ibm54v02'(suite) -> [];
+'ibm-valid-P54-ibm54v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P54-ibm54v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P54-ibm54v01'(suite) -> [];
+'ibm-valid-P54-ibm54v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P54-ibm54v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P52-ibm52v01'(suite) -> [];
+'ibm-valid-P52-ibm52v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P52-ibm52v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P51-ibm51v02'(suite) -> [];
+'ibm-valid-P51-ibm51v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P51-ibm51v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P51-ibm51v01'(suite) -> [];
+'ibm-valid-P51-ibm51v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P51-ibm51v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P50-ibm50v01'(suite) -> [];
+'ibm-valid-P50-ibm50v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P50-ibm50v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P49-ibm49v01'(suite) -> [];
+'ibm-valid-P49-ibm49v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P49-ibm49v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P47-ibm47v01'(suite) -> [];
+'ibm-valid-P47-ibm47v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P47-ibm47v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P45-ibm45v01'(suite) -> [];
+'ibm-valid-P45-ibm45v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P45-ibm45v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P44-ibm44v01'(suite) -> [];
+'ibm-valid-P44-ibm44v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P44-ibm44v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P43-ibm43v01'(suite) -> [];
+'ibm-valid-P43-ibm43v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P43-ibm43v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P42-ibm42v01'(suite) -> [];
+'ibm-valid-P42-ibm42v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P42-ibm42v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P41-ibm41v01'(suite) -> [];
+'ibm-valid-P41-ibm41v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P41-ibm41v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P40-ibm40v01'(suite) -> [];
+'ibm-valid-P40-ibm40v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P40-ibm40v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P39-ibm39v01'(suite) -> [];
+'ibm-valid-P39-ibm39v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P39-ibm39v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P38-ibm38v01'(suite) -> [];
+'ibm-valid-P38-ibm38v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P38-ibm38v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P37-ibm37v01'(suite) -> [];
+'ibm-valid-P37-ibm37v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P37-ibm37v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P36-ibm36v01'(suite) -> [];
+'ibm-valid-P36-ibm36v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P36-ibm36v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P35-ibm35v01'(suite) -> [];
+'ibm-valid-P35-ibm35v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P35-ibm35v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P34-ibm34v01'(suite) -> [];
+'ibm-valid-P34-ibm34v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P34-ibm34v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P33-ibm33v01'(suite) -> [];
+'ibm-valid-P33-ibm33v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P33-ibm33v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P32-ibm32v04'(suite) -> [];
+'ibm-valid-P32-ibm32v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P32-ibm32v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P32-ibm32v03'(suite) -> [];
+'ibm-valid-P32-ibm32v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P32-ibm32v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P32-ibm32v02'(suite) -> [];
+'ibm-valid-P32-ibm32v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P32-ibm32v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P32-ibm32v01'(suite) -> [];
+'ibm-valid-P32-ibm32v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P32-ibm32v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P31-ibm31v01'(suite) -> [];
+'ibm-valid-P31-ibm31v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P31-ibm31v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P30-ibm30v02'(suite) -> [];
+'ibm-valid-P30-ibm30v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P30-ibm30v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P30-ibm30v01'(suite) -> [];
+'ibm-valid-P30-ibm30v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P30-ibm30v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P29-ibm29v02'(suite) -> [];
+'ibm-valid-P29-ibm29v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P29-ibm29v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P29-ibm29v01'(suite) -> [];
+'ibm-valid-P29-ibm29v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P29-ibm29v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P28-ibm28v02'(suite) -> [];
+'ibm-valid-P28-ibm28v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P28-ibm28v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P28-ibm28v01'(suite) -> [];
+'ibm-valid-P28-ibm28v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P28-ibm28v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P27-ibm27v03'(suite) -> [];
+'ibm-valid-P27-ibm27v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P27-ibm27v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P27-ibm27v02'(suite) -> [];
+'ibm-valid-P27-ibm27v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P27-ibm27v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P27-ibm27v01'(suite) -> [];
+'ibm-valid-P27-ibm27v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P27-ibm27v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P26-ibm26v01'(suite) -> [];
+'ibm-valid-P26-ibm26v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P26-ibm26v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P25-ibm25v04'(suite) -> [];
+'ibm-valid-P25-ibm25v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P25-ibm25v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P25-ibm25v03'(suite) -> [];
+'ibm-valid-P25-ibm25v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P25-ibm25v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P25-ibm25v02'(suite) -> [];
+'ibm-valid-P25-ibm25v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P25-ibm25v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P25-ibm25v01'(suite) -> [];
+'ibm-valid-P25-ibm25v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P25-ibm25v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P24-ibm24v02'(suite) -> [];
+'ibm-valid-P24-ibm24v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P24-ibm24v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P24-ibm24v01'(suite) -> [];
+'ibm-valid-P24-ibm24v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P24-ibm24v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P23-ibm23v06'(suite) -> [];
+'ibm-valid-P23-ibm23v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P23-ibm23v06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P23-ibm23v05'(suite) -> [];
+'ibm-valid-P23-ibm23v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P23-ibm23v05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P23-ibm23v04'(suite) -> [];
+'ibm-valid-P23-ibm23v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P23-ibm23v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P23-ibm23v03'(suite) -> [];
+'ibm-valid-P23-ibm23v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P23-ibm23v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P23-ibm23v02'(suite) -> [];
+'ibm-valid-P23-ibm23v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P23-ibm23v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P23-ibm23v01'(suite) -> [];
+'ibm-valid-P23-ibm23v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P23-ibm23v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P22-ibm22v07'(suite) -> [];
+'ibm-valid-P22-ibm22v07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P22-ibm22v07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P22-ibm22v06'(suite) -> [];
+'ibm-valid-P22-ibm22v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P22-ibm22v06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P22-ibm22v05'(suite) -> [];
+'ibm-valid-P22-ibm22v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P22-ibm22v05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P22-ibm22v04'(suite) -> [];
+'ibm-valid-P22-ibm22v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P22-ibm22v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P22-ibm22v03'(suite) -> [];
+'ibm-valid-P22-ibm22v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P22-ibm22v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P22-ibm22v02'(suite) -> [];
+'ibm-valid-P22-ibm22v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P22-ibm22v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P22-ibm22v01'(suite) -> [];
+'ibm-valid-P22-ibm22v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P22-ibm22v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P21-ibm21v01'(suite) -> [];
+'ibm-valid-P21-ibm21v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P21-ibm21v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P20-ibm20v02'(suite) -> [];
+'ibm-valid-P20-ibm20v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P20-ibm20v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P20-ibm20v01'(suite) -> [];
+'ibm-valid-P20-ibm20v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P20-ibm20v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P19-ibm19v01'(suite) -> [];
+'ibm-valid-P19-ibm19v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P19-ibm19v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P18-ibm18v01'(suite) -> [];
+'ibm-valid-P18-ibm18v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P18-ibm18v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P17-ibm17v01'(suite) -> [];
+'ibm-valid-P17-ibm17v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P17-ibm17v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P16-ibm16v03'(suite) -> [];
+'ibm-valid-P16-ibm16v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P16-ibm16v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P16-ibm16v02'(suite) -> [];
+'ibm-valid-P16-ibm16v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P16-ibm16v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P16-ibm16v01'(suite) -> [];
+'ibm-valid-P16-ibm16v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P16-ibm16v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P15-ibm15v04'(suite) -> [];
+'ibm-valid-P15-ibm15v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P15-ibm15v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P15-ibm15v03'(suite) -> [];
+'ibm-valid-P15-ibm15v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P15-ibm15v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P15-ibm15v02'(suite) -> [];
+'ibm-valid-P15-ibm15v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P15-ibm15v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P15-ibm15v01'(suite) -> [];
+'ibm-valid-P15-ibm15v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P15-ibm15v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P14-ibm14v03'(suite) -> [];
+'ibm-valid-P14-ibm14v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P14-ibm14v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P14-ibm14v02'(suite) -> [];
+'ibm-valid-P14-ibm14v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P14-ibm14v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P14-ibm14v01'(suite) -> [];
+'ibm-valid-P14-ibm14v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P14-ibm14v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P13-ibm13v01'(suite) -> [];
+'ibm-valid-P13-ibm13v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P13-ibm13v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P12-ibm12v04'(suite) -> [];
+'ibm-valid-P12-ibm12v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P12-ibm12v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P12-ibm12v03'(suite) -> [];
+'ibm-valid-P12-ibm12v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P12-ibm12v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P12-ibm12v02'(suite) -> [];
+'ibm-valid-P12-ibm12v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P12-ibm12v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P12-ibm12v01'(suite) -> [];
+'ibm-valid-P12-ibm12v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P12-ibm12v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P11-ibm11v04'(suite) -> [];
+'ibm-valid-P11-ibm11v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P11-ibm11v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P11-ibm11v03'(suite) -> [];
+'ibm-valid-P11-ibm11v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P11-ibm11v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P11-ibm11v02'(suite) -> [];
+'ibm-valid-P11-ibm11v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P11-ibm11v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P11-ibm11v01'(suite) -> [];
+'ibm-valid-P11-ibm11v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P11-ibm11v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v08'(suite) -> [];
+'ibm-valid-P10-ibm10v08'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v08.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v07'(suite) -> [];
+'ibm-valid-P10-ibm10v07'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v07.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v06'(suite) -> [];
+'ibm-valid-P10-ibm10v06'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v06.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v05'(suite) -> [];
+'ibm-valid-P10-ibm10v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v04'(suite) -> [];
+'ibm-valid-P10-ibm10v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v03'(suite) -> [];
+'ibm-valid-P10-ibm10v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v02'(suite) -> [];
+'ibm-valid-P10-ibm10v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P10-ibm10v01'(suite) -> [];
+'ibm-valid-P10-ibm10v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P10-ibm10v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P09-ibm09v05'(suite) -> [];
+'ibm-valid-P09-ibm09v05'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P09-ibm09v05.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P09-ibm09v04'(suite) -> [];
+'ibm-valid-P09-ibm09v04'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P09-ibm09v04.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P09-ibm09v03'(suite) -> [];
+'ibm-valid-P09-ibm09v03'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P09-ibm09v03.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P09-ibm09v02'(suite) -> [];
+'ibm-valid-P09-ibm09v02'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P09-ibm09v02.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P09-ibm09v01'(suite) -> [];
+'ibm-valid-P09-ibm09v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P09-ibm09v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P03-ibm03v01'(suite) -> [];
+'ibm-valid-P03-ibm03v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P03-ibm03v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P02-ibm02v01'(suite) -> [];
+'ibm-valid-P02-ibm02v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P02-ibm02v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+'ibm-valid-P01-ibm01v01'(suite) -> [];
+'ibm-valid-P01-ibm01v01'(Config) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line {A,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),ibm,"ibm-valid-P01-ibm01v01.xml"]),[]),
+ ?line xmerl:export([A],xmerl_test).
+
+
+
+%%======================================================================
+%% Support Functions
+%%======================================================================
+
+%% Dir is a directory
+rm_f_(Dir) ->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ rm_files(FileList),
+ ?line file:set_cwd(CWD),
+ ? line ok = file:del_dir(Dir).
+
+rm_files([])->
+ ok;
+rm_files([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ rm_f_(F);
+ _ ->
+ ?line ok = file:delete(F)
+ end,
+ rm_files(Fs).
+
+-include_lib("kernel/include/file.hrl").
+change_mode(Files) ->
+ change_mode3(Files).
+change_mode2(Dir)->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ change_mode3(FileList),
+ ?line file:set_cwd(CWD).
+change_mode3([]) ->
+ ok;
+change_mode3([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ chmod(F),
+ change_mode2(F);
+ _ ->
+ chmod(F)
+ end,
+ change_mode3(Fs).
+
+chmod(F) ->
+ case file:read_file_info(F) of
+ {ok,FileInfo} ->
+ Mode= FileInfo#file_info.mode,
+ file:write_file_info(F,FileInfo#file_info{mode=8#00777 bor Mode});
+ _ ->
+ ok
+ end.
+
+
+
+
+
+%%add_xml_path(TestCase) ->
+%testcase_dir(TestCase) ->
+% add_xml_path(lists:member(TestCase,ibm_test_cases(suite)),?ibm_dir,TestCase,
+% [{fun japanese_test_cases/1,?japanese_dir},
+% {fun oasis_test_cases/1,?oasis_dir},
+% {fun sun_test_cases/1,?sun_dir},
+% {fun xmltest_test_cases/1,?xmltest_dir}]).
+%add_xml_path(true,Dir,_,_) ->
+% io:format("directory in path:~p~n",[Dir]),
+%% ?line code:add_patha(Dir);
+% Dir;
+%add_xml_path(_,_,TestCase,[{NextTCs,NextDir}|Rest]) ->
+% add_xml_path(lists:member(TestCase,NextTCs(suite)),NextDir,TestCase,Rest);
+%add_xml_path(false,_,TC,[]) ->
+% exit({error,{xmltests,uncovered_test_case,TC}}).
+
diff --git a/lib/xmerl/test/xmerl_std_SUITE_data/ibm.tar.gz b/lib/xmerl/test/xmerl_std_SUITE_data/ibm.tar.gz
new file mode 100644
index 0000000000..c36bcb5bd3
--- /dev/null
+++ b/lib/xmerl/test/xmerl_std_SUITE_data/ibm.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_std_SUITE_data/japanese.tar.gz b/lib/xmerl/test/xmerl_std_SUITE_data/japanese.tar.gz
new file mode 100644
index 0000000000..cf1d71a2fd
--- /dev/null
+++ b/lib/xmerl/test/xmerl_std_SUITE_data/japanese.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_std_SUITE_data/oasis.tar.gz b/lib/xmerl/test/xmerl_std_SUITE_data/oasis.tar.gz
new file mode 100644
index 0000000000..ab809a63e7
--- /dev/null
+++ b/lib/xmerl/test/xmerl_std_SUITE_data/oasis.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_std_SUITE_data/sun.tar.gz b/lib/xmerl/test/xmerl_std_SUITE_data/sun.tar.gz
new file mode 100644
index 0000000000..022e64ab9e
--- /dev/null
+++ b/lib/xmerl/test/xmerl_std_SUITE_data/sun.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_std_SUITE_data/xmltest.tar.gz b/lib/xmerl/test/xmerl_std_SUITE_data/xmltest.tar.gz
new file mode 100644
index 0000000000..d49fe26ff0
--- /dev/null
+++ b/lib/xmerl/test/xmerl_std_SUITE_data/xmltest.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_test_lib.erl b/lib/xmerl/test/xmerl_test_lib.erl
new file mode 100644
index 0000000000..7942224703
--- /dev/null
+++ b/lib/xmerl/test/xmerl_test_lib.erl
@@ -0,0 +1,343 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2006-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%%%% Purpose : Test suite for the xmerl application, xmerl_eventp module
+%%%-------------------------------------------------------------------
+%%% File : xmerl_sax_lib.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 28 Apr 2006 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(xmerl_test_lib).
+
+-compile(export_all).
+
+-include("test_server.hrl").
+-include("xmerl.hrl").
+
+sax_parse_export_xml_big(Config) ->
+ DataDir = ?config(data_dir,Config),
+ OutDir = ?config(priv_dir,Config),
+ io:format("DataDir: ~p~n,OutDir:~p~n",[DataDir,OutDir]),
+ CMOMxml = filename:join([DataDir,"eventp","CMOM.xml"]),
+ ?line {Ex,[]} = xmerl_eventp:file_sax(CMOMxml, xmerl_xml,[],[]),
+ OutFile = filename:join([OutDir,"cmom"]),
+ file:delete(OutFile),
+ StubFile = filename:join([DataDir,"eventp","CelloMOM.stub"]),
+ ?line {ok,Bin} = file:read_file(StubFile),
+ ?line {ok,IO} = file:open(OutFile,[write,append]),
+ ?line ok = file:write(IO,Bin),
+ ?line ok = io:format(IO,"~s~n~n",[lists:flatten(Ex)]),
+ Cmd = lists:flatten(io_lib:format("cmp ~s ~s",[OutFile,CMOMxml])),
+ ?line [] = os:cmd(Cmd),
+ ok.
+
+sax_parse_export_xml_small(Config) ->
+ DataDir = ?config(data_dir,Config),
+ OutDir = ?config(priv_dir,Config),
+ Wurfl_xml = filename:join([DataDir,"eventp","wurfl.xml"]),
+ ?line {Ex,[]} = xmerl_eventp:file_sax(Wurfl_xml, xmerl_xml,[],[]),
+ OutFile = filename:join([OutDir,"wrfl"]),
+ file:delete(OutFile),
+ StubFile = filename:join([DataDir,"eventp","wurfl.stub"]),
+ ?line {ok,Bin} = file:read_file(StubFile),
+ ?line {ok,IO} = file:open(OutFile,[write,append]),
+ ?line ok = file:write(IO,Bin),
+ ?line ok = io:format(IO,"~s~n",[lists:flatten(Ex)]),
+ Cmd = lists:flatten(io_lib:format("cmp ~s ~s",[OutFile,Wurfl_xml])),
+ ?line [] = os:cmd(Cmd),
+ ok.
+
+export_simple1(_Config) ->
+ Simple = simple(),
+ Res = xmerl:export_simple(Simple,xmerl_xml,[{title, "Doc Title"}]),
+ ?line "<?xml version="++_ = lists:flatten(Res),
+
+ %% Use of fun in simple content OTP-6679
+ Simple2 = simple2(),
+ Res2 = xmerl:export_simple(Simple2,xmerl_xml,[{title,"Doc Title"}]),
+ ?line true = (Res2 =:= Res),
+ ok.
+
+export(Config) ->
+ DataDir = ?config(data_dir,Config),
+ Prolog = ["<?xml version=\"1.0\" encoding=\"utf-8\" ?>\n<!DOCTYPE motorcycles SYSTEM \"motorcycles.dtd\">\n"],
+ TestFile = filename:join([DataDir,"misc","motorcycles.xml"]),
+ ?line {E,_} = xmerl_scan:file(TestFile),
+ ?line Exported = xmerl:export([E],xmerl_xml,[{prolog,Prolog}]),
+ B = list_to_binary(Exported++"\n"),
+ ?line {ok,B} = file:read_file(TestFile),
+ ok.
+
+
+simple() ->
+ [{document,
+ [{title, "Doc Title"}, {author, "Ulf Wiger"}],
+ [{section,
+ [{heading, "heading1"}],
+ [{'P', ["This is a paragraph of text."]},
+ {section,
+ [{heading, "heading2"}],
+ [{'P', ["This is another paragraph."]},
+ {table,
+ [{border, 1}],
+ [{heading,
+ [{col, ["head1"]},
+ {col, ["head2"]}]},
+ {row,
+ [{col, ["col11"]},
+ {col, ["col12"]}]},
+ {row,
+ [{col, ["col21"]},
+ {col, ["col22"]}]}]}]}]}]}].
+
+
+simple2() ->
+ GenC = fun ?MODULE:generate_section/1,
+ GenA = fun ?MODULE:generate_attr_title/1,
+ [{document,[{GenA,2}],[{GenC,1}]}].
+
+generate_attr_title(0) ->
+ done;
+generate_attr_title(1) ->
+ {{title,"Doc Title"},0};
+generate_attr_title(2) ->
+ {{author, "Ulf Wiger"},1}.
+generate_section(0) ->
+ done;
+generate_section(N) ->
+ GenC = fun ?MODULE:generate_section_content/1,
+ GenA = fun ?MODULE:generate_section_attribute/1,
+ {{section,[{GenA,1}],[{GenC,2}]},N-1}.
+
+generate_section_attribute(0) ->
+ done;
+generate_section_attribute(N) ->
+ {{heading, "heading1"},N-1}.
+
+
+generate_subsection_content(0) ->
+ done;
+generate_subsection_content(1) ->
+ {{'P',["This is another paragraph."]},0};
+generate_subsection_content(N) ->
+ {{table,[{fun ?MODULE:generate_border_attribute/1,1}],
+ [{fun ?MODULE:generate_table_content/1,2}]},N-1}.
+generate_section_content(0) ->
+ done;
+generate_section_content(1) ->
+ {{'P',["This is a paragraph of text."]},0};
+generate_section_content(N) ->
+ {{section,[{heading,"heading2"}],
+ [{fun ?MODULE:generate_subsection_content/1,2}]},N-1}.
+generate_border_attribute(0) ->
+ done;
+generate_border_attribute(N) ->
+ {{border,N},N-1}.
+generate_table_content(0) ->
+ done;
+generate_table_content(1) ->
+ {{fun ?MODULE:generate_heading/1,1},0};
+generate_table_content(N) ->
+ {{fun ?MODULE:generate_row/1, {2,2}},N-1}.
+generate_row({0,_}) ->
+ done;
+generate_row(N) ->
+ UpdateS = fun({2,_}) -> {1,2};(_) -> {0,0} end,
+ {{row,[{fun ?MODULE:generate_row_col/1, N}]},UpdateS(N)}.
+generate_row_col({_,0}) ->
+ done;
+generate_row_col(N={C,R}) ->
+ UpdateS = fun({X,Y}) -> {X,Y-1} end,
+ {{col,[lists:concat(["col",C,R])]},UpdateS(N)}.
+generate_heading(0) ->
+ done;
+generate_heading(N) ->
+ {{heading,[{fun ?MODULE:generate_heading_col/1,2}]},N-1}.
+generate_heading_col(0) ->
+ done;
+generate_heading_col(N) ->
+ {{col,[lists:concat(["head",N])]},N-1}.
+
+%% cmp_element/2
+%% First argument result after parsing
+%% Second argument result after validation
+cmp_element(E,E) ->
+ ok;
+cmp_element(#xmlElement{name=N,attributes=A1,content=C1},
+ #xmlElement{name=N,attributes=A2,content=C2}) ->
+ case cmp_attributes(A1,A2) of
+ ok ->
+ cmp_elements(C1,C2);
+ Err -> Err
+ end;
+cmp_element(#xmlText{},#xmlText{}) ->
+ ok;
+cmp_element(A,B) ->
+ {error,{A,does_not_match,B}}.
+
+cmp_elements([H1|T1],[H2|T2]) ->
+ case cmp_element(H1,H2) of
+ ok ->
+ cmp_elements(T1,T2);
+ Err ->
+ Err
+ end;
+cmp_elements([],[]) ->
+ ok.
+
+%% All attributes in argument 1 must be present in 2
+cmp_attributes([A1|T1],Atts2) ->
+ case keysearch_delete(A1#xmlAttribute.name,#xmlAttribute.name,Atts2) of
+ {A2,NewAtts2} ->
+ case A1#xmlAttribute.value == A2#xmlAttribute.value of
+ true ->
+ cmp_attributes(T1,NewAtts2);
+ _ ->
+ {error,{mismatching_values_in_attsibutes,A1,A2}}
+ end;
+ _ ->
+ {error,{no_matching_attsibute,A1,in,Atts2}}
+ end;
+cmp_attributes([],_) ->
+ ok.
+
+keysearch_delete(Key,N,List) ->
+ case lists:keysearch(Key,N,List) of
+ {value,Res} ->
+ {Res,lists:keydelete(Key,N,List)};
+ _ ->
+ false
+ end.
+
+%%-------------------------------------------------------
+%% TICKET tests
+%%-------------------------------------------------------
+
+%%
+%% ticket_5998
+%%
+%% A Kleene Closure child in a sequence consumed all following
+%% childs. This problem has been fixed.
+%%
+ticket_5998(Config) ->
+ DataDir = ?config(data_dir,Config),
+ %% First fix is tested by case syntax_bug2.
+
+ ?line case catch xmerl_scan:file(filename:join([DataDir,misc,
+ "ticket_5998_2.xml"])) of
+ {'EXIT',{fatal,Reason1}} ->
+ case Reason1 of
+ {{endtag_does_not_match,
+ {was,obj,should_have_been,int}},
+ _,_,_} -> ok;
+ _ -> {comment,"parsing changed behaviour"}
+ end
+ end,
+
+ ?line case catch xmerl_scan:file(filename:join([DataDir,misc,
+ "ticket_5998_3.xml"])) of
+ {'EXIT',{fatal,Reason2}} ->
+ case Reason2 of
+ {"expected one of: ?>, standalone, encoding",
+ _,_,_} -> ok;
+ _ -> {comment,"parsing changed behaviour"}
+ end
+ end.
+
+
+%%
+%% ticket_7211
+%%
+%% A Kleene Closure child in a sequence consumed all following
+%% childs. This problem has been fixed.
+%%
+ticket_7211(Config) ->
+ DataDir = ?config(data_dir,Config),
+ ?line {E,[]} =
+ xmerl_scan:file(filename:join([DataDir,misc,"notes2.xml"]),
+ [{fetch_path,[filename:join([DataDir,misc,erlang_docs_dtd])]},
+ {validation,dtd}]),
+
+ ?line ok = case E of
+ Rec when is_record(Rec,xmlElement) ->
+ ok;
+ _ ->
+ E
+ end,
+
+ ?line {E2,[]} =
+ xmerl_scan:file(filename:join([DataDir,misc,"XS.xml"]),
+ [{fetch_path,[filename:join([DataDir,misc,erlang_docs_dtd])]},
+ {validation,dtd}]),
+
+ ?line ok = case E2 of
+ Rec2 when is_record(Rec2,xmlElement) ->
+ ok;
+ _ ->
+ E2
+ end.
+
+%%
+%% ticket_7214
+%%
+%% Now validating xhtml1-transitional.dtd.
+%% A certain contentspec with a succeding choice, that didn't match
+%% all content, followed by other child elements caused a
+%% failure. This is now corrected.
+%%
+ticket_7214(Config) ->
+ DataDir = ?config(data_dir,Config),
+
+ ?line {E,[]} =
+ xmerl_scan:file(filename:join([DataDir,misc,'block_tags.html']),
+ [{validation,dtd},
+ {fetch_path,[filename:join([DataDir,misc,erlang_docs_dtd])]}]),
+
+ ?line ok = case E of
+ Rec when is_record(Rec,xmlElement) ->
+ ok;
+ _ ->
+ E
+ end.
+%%
+%% ticket_7430
+%%
+%% Problem with contents of numeric character references followed by
+%% UTF-8 characters..
+%%
+ticket_7430(Config) ->
+ DataDir = ?config(data_dir,Config),
+
+ ?line {E,[]} =
+ xmerl_scan:string("<a>\303\251&#xD;\303\251</a>",
+ [{encoding, 'utf-8'}]),
+
+ ?line ok = case E of
+ {xmlElement,a,a,[],
+ {xmlNamespace,[],[]},
+ [],1,[],
+ [{xmlText,[{a,1}],1,[],"�",text},
+ {xmlText,[{a,1}],2,[],"\n�",text}],
+ [],_,undeclared} ->
+ ok;
+ _ ->
+ E
+ end.
+
diff --git a/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE.erl b/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE.erl
new file mode 100644
index 0000000000..44ec4b592d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE.erl
@@ -0,0 +1,24131 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2006-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%% Purpose : Test suite for the xmerl application
+
+%% Do NOT edit this file. It is generated by the generate_xsd_suite module
+%% For more info read the comments in the header of that file.
+
+-module('xmerl_xsd_MS2002-01-16_SUITE').
+
+-compile(export_all).
+
+-include_lib("test_server/include/test_server.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+-include_lib("xmerl/include/xmerl_xsd.hrl").
+
+
+all() ->
+ [att, ct, elem, group, idc_, id, mgABCD, mgEFG, mgHIJ,
+ mgK, mgLM, mgN, mgOP, mgQR, mgS, particlesAB,
+ particlesCDE, particlesFHI, particlesJ,
+ particlesKOSRTQUVW, stABCDE, stFGH, stIJK, stZ,
+ wildABCDEF, wildGHI, wildJKLMNQOP, wildZ].
+
+groups() ->
+ [].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
+
+%% initialization before the test suite
+init_per_suite(Config) ->
+ Dog=test_server:timetrap({minutes,10}),
+ xmerl_xsd_lib:unpack(Config,msx),
+ {ok,LogFile} = xmerl_xsd_lib:create_error_log_file(Config,msx),
+ test_server:timetrap_cancel(Dog),
+ [{suite,msx},{xmerl_error_log,LogFile}|Config].
+
+end_per_suite(Config) ->
+ xmerl_xsd_lib:rmdir(Config,msx),
+ xmerl_xsd_lib:close_error_log_file(Config),
+ ok.
+
+%% initialization before each testcase
+init_per_testcase(TestCase,Config) ->
+ Dog=test_server:timetrap({minutes,3}),
+ [{testcase,TestCase},{watchdog, Dog}|Config].
+
+%% clean up after each testcase
+end_per_testcase(_Func,Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ ok.
+
+%% Syntax Checking for Attribute Declaration
+
+att(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA001.xsd','./msxsdtest/attribute',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA002.xsd','./msxsdtest/attribute',invalid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA003.xsd','./msxsdtest/attribute',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA004.xsd','./msxsdtest/attribute',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA005.xsd','./msxsdtest/attribute',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA006.xsd','./msxsdtest/attribute',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA007.xsd','./msxsdtest/attribute',valid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attA008.xsd','./msxsdtest/attribute',valid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attB001.xsd','./msxsdtest/attribute',valid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attB002.xsd','./msxsdtest/attribute',valid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attB003.xsd','./msxsdtest/attribute',valid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attB004.xsd','./msxsdtest/attribute',valid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attB005.xsd','./msxsdtest/attribute',invalid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attB006.xsd','./msxsdtest/attribute',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC001.xsd','./msxsdtest/attribute',valid),
+ STResList15 = [STRes14|STResList14],
+
+
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC003.xsd','./msxsdtest/attribute',valid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC004.xsd','./msxsdtest/attribute',invalid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC005.xsd','./msxsdtest/attribute',invalid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC006.xsd','./msxsdtest/attribute',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC007.xsd','./msxsdtest/attribute',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC008.xsd','./msxsdtest/attribute',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC009.xsd','./msxsdtest/attribute',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attC010.xsd','./msxsdtest/attribute',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attD001.xsd','./msxsdtest/attribute',valid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attD002.xsd','./msxsdtest/attribute',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attD003.xsd','./msxsdtest/attribute',valid),
+ STResList26 = [STRes25|STResList25],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attD003.xml','./msxsdtest/attribute',valid,S25),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attD004.xsd','./msxsdtest/attribute',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attD004.xml','./msxsdtest/attribute',valid,S26),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attD005.xsd','./msxsdtest/attribute',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attD006.xsd','./msxsdtest/attribute',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attD007.xsd','./msxsdtest/attribute',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attD007.xml','./msxsdtest/attribute',valid,S29),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE001.xsd','./msxsdtest/attribute',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attE001.xml','./msxsdtest/attribute',valid,S30),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE002.xsd','./msxsdtest/attribute',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE003.xsd','./msxsdtest/attribute',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE004.xsd','./msxsdtest/attribute',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE005.xsd','./msxsdtest/attribute',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE006.xsd','./msxsdtest/attribute',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE007.xsd','./msxsdtest/attribute',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attE008.xsd','./msxsdtest/attribute',valid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF001.xsd','./msxsdtest/attribute',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attF001.xml','./msxsdtest/attribute',invalid,S38),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF002.xsd','./msxsdtest/attribute',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attF002.xml','./msxsdtest/attribute',valid,S39),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF003.xsd','./msxsdtest/attribute',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attF003.xml','./msxsdtest/attribute',valid,S40),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF004.xsd','./msxsdtest/attribute',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF005.xsd','./msxsdtest/attribute',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF006.xsd','./msxsdtest/attribute',invalid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF007.xsd','./msxsdtest/attribute',invalid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF008.xsd','./msxsdtest/attribute',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF009.xsd','./msxsdtest/attribute',invalid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF010.xsd','./msxsdtest/attribute',invalid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attF011.xsd','./msxsdtest/attribute',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attG001.xsd','./msxsdtest/attribute',valid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attG002.xsd','./msxsdtest/attribute',valid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attG003.xsd','./msxsdtest/attribute',valid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attH001.xsd','./msxsdtest/attribute',invalid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attI001.xsd','./msxsdtest/attribute',valid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attI002.xsd','./msxsdtest/attribute',valid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,S55} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attI003.xsd','./msxsdtest/attribute',valid),
+ STResList56 = [STRes55|STResList55],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attI003.xml','./msxsdtest/attribute',valid,S55),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attI004.xsd','./msxsdtest/attribute',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attI005.xsd','./msxsdtest/attribute',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attI006.xsd','./msxsdtest/attribute',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ001.xsd','./msxsdtest/attribute',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ001.xml','./msxsdtest/attribute',valid,S59),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ002.xsd','./msxsdtest/attribute',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ002.xml','./msxsdtest/attribute',invalid,S60),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes61,S61} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ003.xsd','./msxsdtest/attribute',valid),
+ STResList62 = [STRes61|STResList61],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ003.xml','./msxsdtest/attribute',invalid,S61),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ004.xsd','./msxsdtest/attribute',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ004.xml','./msxsdtest/attribute',valid,S62),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ005.xsd','./msxsdtest/attribute',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ005.xml','./msxsdtest/attribute',valid,S63),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ006.xsd','./msxsdtest/attribute',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ006.xml','./msxsdtest/attribute',valid,S64),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ007.xsd','./msxsdtest/attribute',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ007.xml','./msxsdtest/attribute',valid,S65),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ008.xsd','./msxsdtest/attribute',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ008.xml','./msxsdtest/attribute',invalid,S66),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes67,S67} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ009.xsd','./msxsdtest/attribute',valid),
+ STResList68 = [STRes67|STResList67],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ009.xml','./msxsdtest/attribute',invalid,S67),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ010.xsd','./msxsdtest/attribute',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ010.xml','./msxsdtest/attribute',invalid,S68),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ011.xsd','./msxsdtest/attribute',invalid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ012.xsd','./msxsdtest/attribute',invalid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ013.xsd','./msxsdtest/attribute',invalid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ014.xsd','./msxsdtest/attribute',invalid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ015.xsd','./msxsdtest/attribute',invalid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ016.xsd','./msxsdtest/attribute',invalid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ017.xsd','./msxsdtest/attribute',invalid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,S76} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attJ018.xsd','./msxsdtest/attribute',valid),
+ STResList77 = [STRes76|STResList76],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attJ018.xml','./msxsdtest/attribute',valid,S76),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes77,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa001.xsd','./msxsdtest/attribute',invalid),
+ STResList78 = [STRes77|STResList77],
+
+
+ ?line {STRes78,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa002.xsd','./msxsdtest/attribute',valid),
+ STResList79 = [STRes78|STResList78],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa003.xsd','./msxsdtest/attribute',invalid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa004.xsd','./msxsdtest/attribute',invalid),
+ STResList81 = [STRes80|STResList80],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa005.xsd','./msxsdtest/attribute',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa006.xsd','./msxsdtest/attribute',valid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa007.xsd','./msxsdtest/attribute',invalid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa008.xsd','./msxsdtest/attribute',invalid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa009.xsd','./msxsdtest/attribute',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa010.xsd','./msxsdtest/attribute',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ ?line {STRes87,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa011.xsd','./msxsdtest/attribute',invalid),
+ STResList88 = [STRes87|STResList87],
+
+
+ ?line {STRes88,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa012.xsd','./msxsdtest/attribute',invalid),
+ STResList89 = [STRes88|STResList88],
+
+
+ ?line {STRes89,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa013.xsd','./msxsdtest/attribute',invalid),
+ STResList90 = [STRes89|STResList89],
+
+
+ ?line {STRes90,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa014.xsd','./msxsdtest/attribute',invalid),
+ STResList91 = [STRes90|STResList90],
+
+
+ ?line {STRes91,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKa015.xsd','./msxsdtest/attribute',invalid),
+ STResList92 = [STRes91|STResList91],
+
+
+ ?line {STRes92,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb001.xsd','./msxsdtest/attribute',invalid),
+ STResList93 = [STRes92|STResList92],
+
+
+ ?line {STRes93,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb002.xsd','./msxsdtest/attribute',valid),
+ STResList94 = [STRes93|STResList93],
+
+
+ ?line {STRes94,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb003.xsd','./msxsdtest/attribute',valid),
+ STResList95 = [STRes94|STResList94],
+
+
+ ?line {STRes95,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb004.xsd','./msxsdtest/attribute',invalid),
+ STResList96 = [STRes95|STResList95],
+
+
+ ?line {STRes96,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb005.xsd','./msxsdtest/attribute',invalid),
+ STResList97 = [STRes96|STResList96],
+
+
+ ?line {STRes97,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb006.xsd','./msxsdtest/attribute',valid),
+ STResList98 = [STRes97|STResList97],
+
+
+ ?line {STRes98,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb007.xsd','./msxsdtest/attribute',valid),
+ STResList99 = [STRes98|STResList98],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb008.xsd','./msxsdtest/attribute',valid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb009.xsd','./msxsdtest/attribute',valid),
+ STResList101 = [STRes100|STResList100],
+
+
+ ?line {STRes101,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb010.xsd','./msxsdtest/attribute',invalid),
+ STResList102 = [STRes101|STResList101],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb011.xsd','./msxsdtest/attribute',invalid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb012.xsd','./msxsdtest/attribute',invalid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb013.xsd','./msxsdtest/attribute',invalid),
+ STResList105 = [STRes104|STResList104],
+
+
+ ?line {STRes105,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb014.xsd','./msxsdtest/attribute',invalid),
+ STResList106 = [STRes105|STResList105],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb015.xsd','./msxsdtest/attribute',invalid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb016.xsd','./msxsdtest/attribute',invalid),
+ STResList108 = [STRes107|STResList107],
+
+
+ ?line {STRes108,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb017.xsd','./msxsdtest/attribute',invalid),
+ STResList109 = [STRes108|STResList108],
+
+
+ ?line {STRes109,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKb018.xsd','./msxsdtest/attribute',invalid),
+ STResList110 = [STRes109|STResList109],
+
+
+ ?line {STRes110,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc001.xsd','./msxsdtest/attribute',invalid),
+ STResList111 = [STRes110|STResList110],
+
+
+ ?line {STRes111,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc002.xsd','./msxsdtest/attribute',valid),
+ STResList112 = [STRes111|STResList111],
+
+
+ ?line {STRes112,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc003.xsd','./msxsdtest/attribute',valid),
+ STResList113 = [STRes112|STResList112],
+
+
+ ?line {STRes113,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc004.xsd','./msxsdtest/attribute',invalid),
+ STResList114 = [STRes113|STResList113],
+
+
+ ?line {STRes114,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc005.xsd','./msxsdtest/attribute',invalid),
+ STResList115 = [STRes114|STResList114],
+
+
+ ?line {STRes115,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc006.xsd','./msxsdtest/attribute',valid),
+ STResList116 = [STRes115|STResList115],
+
+
+ ?line {STRes116,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc007.xsd','./msxsdtest/attribute',valid),
+ STResList117 = [STRes116|STResList116],
+
+
+ ?line {STRes117,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc008.xsd','./msxsdtest/attribute',valid),
+ STResList118 = [STRes117|STResList117],
+
+
+ ?line {STRes118,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc009.xsd','./msxsdtest/attribute',valid),
+ STResList119 = [STRes118|STResList118],
+
+
+ ?line {STRes119,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc010.xsd','./msxsdtest/attribute',invalid),
+ STResList120 = [STRes119|STResList119],
+
+
+ ?line {STRes120,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc011.xsd','./msxsdtest/attribute',invalid),
+ STResList121 = [STRes120|STResList120],
+
+
+ ?line {STRes121,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc012.xsd','./msxsdtest/attribute',invalid),
+ STResList122 = [STRes121|STResList121],
+
+
+ ?line {STRes122,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc013.xsd','./msxsdtest/attribute',invalid),
+ STResList123 = [STRes122|STResList122],
+
+
+ ?line {STRes123,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc014.xsd','./msxsdtest/attribute',invalid),
+ STResList124 = [STRes123|STResList123],
+
+
+ ?line {STRes124,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc015.xsd','./msxsdtest/attribute',invalid),
+ STResList125 = [STRes124|STResList124],
+
+
+ ?line {STRes125,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc016.xsd','./msxsdtest/attribute',invalid),
+ STResList126 = [STRes125|STResList125],
+
+
+ ?line {STRes126,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc017.xsd','./msxsdtest/attribute',invalid),
+ STResList127 = [STRes126|STResList126],
+
+
+ ?line {STRes127,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attKc018.xsd','./msxsdtest/attribute',invalid),
+ STResList128 = [STRes127|STResList127],
+
+
+ ?line {STRes128,S128} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLa001.xsd','./msxsdtest/attribute',valid),
+ STResList129 = [STRes128|STResList128],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLa001.xml','./msxsdtest/attribute',valid,S128),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes129,S129} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLa002.xsd','./msxsdtest/attribute',valid),
+ STResList130 = [STRes129|STResList129],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLa002.xml','./msxsdtest/attribute',valid,S129),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes130,S130} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLa003.xsd','./msxsdtest/attribute',valid),
+ STResList131 = [STRes130|STResList130],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLa003.xml','./msxsdtest/attribute',valid,S130),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes131,S131} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLa004.xsd','./msxsdtest/attribute',valid),
+ STResList132 = [STRes131|STResList131],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLa004.xml','./msxsdtest/attribute',valid,S131),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes132,S132} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLa005.xsd','./msxsdtest/attribute',valid),
+ STResList133 = [STRes132|STResList132],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLa005.xml','./msxsdtest/attribute',invalid,S132),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes133,S133} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLa006.xsd','./msxsdtest/attribute',valid),
+ STResList134 = [STRes133|STResList133],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLa006.xml','./msxsdtest/attribute',valid,S133),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes134,S134} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLb001.xsd','./msxsdtest/attribute',valid),
+ STResList135 = [STRes134|STResList134],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLb001.xml','./msxsdtest/attribute',valid,S134),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes135,S135} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLb002.xsd','./msxsdtest/attribute',valid),
+ STResList136 = [STRes135|STResList135],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLb002.xml','./msxsdtest/attribute',valid,S135),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes136,S136} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLb003.xsd','./msxsdtest/attribute',valid),
+ STResList137 = [STRes136|STResList136],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLb003.xml','./msxsdtest/attribute',valid,S136),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes137,S137} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLb004.xsd','./msxsdtest/attribute',valid),
+ STResList138 = [STRes137|STResList137],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLb004.xml','./msxsdtest/attribute',valid,S137),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes138,S138} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLb005.xsd','./msxsdtest/attribute',valid),
+ STResList139 = [STRes138|STResList138],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLb005.xml','./msxsdtest/attribute',invalid,S138),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes139,S139} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLb006.xsd','./msxsdtest/attribute',valid),
+ STResList140 = [STRes139|STResList139],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLb006.xml','./msxsdtest/attribute',valid,S139),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes140,S140} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLc001.xsd','./msxsdtest/attribute',valid),
+ STResList141 = [STRes140|STResList140],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLc001.xml','./msxsdtest/attribute',valid,S140),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes141,S141} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLc002.xsd','./msxsdtest/attribute',valid),
+ STResList142 = [STRes141|STResList141],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLc002.xml','./msxsdtest/attribute',valid,S141),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes142,S142} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLc003.xsd','./msxsdtest/attribute',valid),
+ STResList143 = [STRes142|STResList142],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLc003.xml','./msxsdtest/attribute',valid,S142),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes143,S143} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLc004.xsd','./msxsdtest/attribute',valid),
+ STResList144 = [STRes143|STResList143],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLc004.xml','./msxsdtest/attribute',valid,S143),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes144,S144} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLc005.xsd','./msxsdtest/attribute',valid),
+ STResList145 = [STRes144|STResList144],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLc005.xml','./msxsdtest/attribute',invalid,S144),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes145,S145} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attLc006.xsd','./msxsdtest/attribute',valid),
+ STResList146 = [STRes145|STResList145],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attLc006.xml','./msxsdtest/attribute',valid,S145),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes146,S146} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMa001.xsd','./msxsdtest/attribute',valid),
+ STResList147 = [STRes146|STResList146],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMa001.xml','./msxsdtest/attribute',invalid,S146),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes147,S147} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMa002.xsd','./msxsdtest/attribute',valid),
+ STResList148 = [STRes147|STResList147],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMa002.xml','./msxsdtest/attribute',invalid,S147),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes148,S148} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMa003.xsd','./msxsdtest/attribute',valid),
+ STResList149 = [STRes148|STResList148],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMa003.xml','./msxsdtest/attribute',valid,S148),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes149,S149} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMa004.xsd','./msxsdtest/attribute',valid),
+ STResList150 = [STRes149|STResList149],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMa004.xml','./msxsdtest/attribute',valid,S149),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes150,S150} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb001.xsd','./msxsdtest/attribute',valid),
+ STResList151 = [STRes150|STResList150],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb001.xml','./msxsdtest/attribute',invalid,S150),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes151,S151} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb002.xsd','./msxsdtest/attribute',valid),
+ STResList152 = [STRes151|STResList151],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb002.xml','./msxsdtest/attribute',invalid,S151),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes152,S152} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb003.xsd','./msxsdtest/attribute',valid),
+ STResList153 = [STRes152|STResList152],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb003.xml','./msxsdtest/attribute',invalid,S152),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes153,S153} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb004.xsd','./msxsdtest/attribute',valid),
+ STResList154 = [STRes153|STResList153],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb004.xml','./msxsdtest/attribute',valid,S153),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes154,S154} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb005.xsd','./msxsdtest/attribute',valid),
+ STResList155 = [STRes154|STResList154],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb005.xml','./msxsdtest/attribute',valid,S154),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes155,S155} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb006.xsd','./msxsdtest/attribute',valid),
+ STResList156 = [STRes155|STResList155],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb006.xml','./msxsdtest/attribute',valid,S155),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes156,S156} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb007.xsd','./msxsdtest/attribute',valid),
+ STResList157 = [STRes156|STResList156],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb007.xml','./msxsdtest/attribute',valid,S156),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes157,S157} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb008.xsd','./msxsdtest/attribute',valid),
+ STResList158 = [STRes157|STResList157],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb008.xml','./msxsdtest/attribute',valid,S157),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes158,S158} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb009.xsd','./msxsdtest/attribute',valid),
+ STResList159 = [STRes158|STResList158],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb009.xml','./msxsdtest/attribute',valid,S158),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes159,S159} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb010.xsd','./msxsdtest/attribute',valid),
+ STResList160 = [STRes159|STResList159],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb010.xml','./msxsdtest/attribute',invalid,S159),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes160,S160} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb011.xsd','./msxsdtest/attribute',valid),
+ STResList161 = [STRes160|STResList160],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb011.xml','./msxsdtest/attribute',invalid,S160),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes161,S161} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMb012.xsd','./msxsdtest/attribute',valid),
+ STResList162 = [STRes161|STResList161],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMb012.xml','./msxsdtest/attribute',invalid,S161),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes162,S162} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc001.xsd','./msxsdtest/attribute',valid),
+ STResList163 = [STRes162|STResList162],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc001.xml','./msxsdtest/attribute',invalid,S162),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes163,S163} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc002.xsd','./msxsdtest/attribute',valid),
+ STResList164 = [STRes163|STResList163],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc002.xml','./msxsdtest/attribute',invalid,S163),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes164,S164} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc003.xsd','./msxsdtest/attribute',valid),
+ STResList165 = [STRes164|STResList164],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc003.xml','./msxsdtest/attribute',invalid,S164),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes165,S165} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc004.xsd','./msxsdtest/attribute',valid),
+ STResList166 = [STRes165|STResList165],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc004.xml','./msxsdtest/attribute',valid,S165),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes166,S166} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc005.xsd','./msxsdtest/attribute',valid),
+ STResList167 = [STRes166|STResList166],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc005.xml','./msxsdtest/attribute',valid,S166),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes167,S167} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc006.xsd','./msxsdtest/attribute',valid),
+ STResList168 = [STRes167|STResList167],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc006.xml','./msxsdtest/attribute',valid,S167),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes168,S168} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc007.xsd','./msxsdtest/attribute',valid),
+ STResList169 = [STRes168|STResList168],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc007.xml','./msxsdtest/attribute',valid,S168),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes169,S169} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc008.xsd','./msxsdtest/attribute',valid),
+ STResList170 = [STRes169|STResList169],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc008.xml','./msxsdtest/attribute',valid,S169),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes170,S170} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc009.xsd','./msxsdtest/attribute',valid),
+ STResList171 = [STRes170|STResList170],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc009.xml','./msxsdtest/attribute',valid,S170),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes171,S171} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc010.xsd','./msxsdtest/attribute',valid),
+ STResList172 = [STRes171|STResList171],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc010.xml','./msxsdtest/attribute',invalid,S171),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes172,S172} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc011.xsd','./msxsdtest/attribute',valid),
+ STResList173 = [STRes172|STResList172],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc011.xml','./msxsdtest/attribute',invalid,S172),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes173,S173} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attMc012.xsd','./msxsdtest/attribute',valid),
+ STResList174 = [STRes173|STResList173],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attMc012.xml','./msxsdtest/attribute',invalid,S173),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes174,S174} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO001.xsd','./msxsdtest/attribute',valid),
+ STResList175 = [STRes174|STResList174],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO001.xml','./msxsdtest/attribute',invalid,S174),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes175,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO002.xsd','./msxsdtest/attribute',invalid),
+ STResList176 = [STRes175|STResList175],
+
+
+ ?line {STRes176,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO003.xsd','./msxsdtest/attribute',invalid),
+ STResList177 = [STRes176|STResList176],
+
+
+ ?line {STRes177,S177} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO004.xsd','./msxsdtest/attribute',valid),
+ STResList178 = [STRes177|STResList177],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO004.xml','./msxsdtest/attribute',invalid,S177),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes178,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO005.xsd','./msxsdtest/attribute',invalid),
+ STResList179 = [STRes178|STResList178],
+
+
+ ?line {STRes179,S179} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO006.xsd','./msxsdtest/attribute',valid),
+ STResList180 = [STRes179|STResList179],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO006.xml','./msxsdtest/attribute',valid,S179),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes180,S180} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO007.xsd','./msxsdtest/attribute',valid),
+ STResList181 = [STRes180|STResList180],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO007.xml','./msxsdtest/attribute',valid,S180),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes181,S181} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO008.xsd','./msxsdtest/attribute',valid),
+ STResList182 = [STRes181|STResList181],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO008.xml','./msxsdtest/attribute',invalid,S181),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes182,S182} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO009.xsd','./msxsdtest/attribute',valid),
+ STResList183 = [STRes182|STResList182],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO009.xml','./msxsdtest/attribute',valid,S182),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes183,S183} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO010.xsd','./msxsdtest/attribute',valid),
+ STResList184 = [STRes183|STResList183],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO010.xml','./msxsdtest/attribute',valid,S183),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes184,S184} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO011.xsd','./msxsdtest/attribute',valid),
+ STResList185 = [STRes184|STResList184],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO011.xml','./msxsdtest/attribute',valid,S184),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes185,S185} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO012.xsd','./msxsdtest/attribute',valid),
+ STResList186 = [STRes185|STResList185],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attO012.xml','./msxsdtest/attribute',invalid,S185),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes186,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO013.xsd','./msxsdtest/attribute',invalid),
+ STResList187 = [STRes186|STResList186],
+
+
+ ?line {STRes187,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO014.xsd','./msxsdtest/attribute',invalid),
+ STResList188 = [STRes187|STResList187],
+
+
+ ?line {STRes188,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO015.xsd','./msxsdtest/attribute',invalid),
+ STResList189 = [STRes188|STResList188],
+
+
+ ?line {STRes189,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO016.xsd','./msxsdtest/attribute',invalid),
+ STResList190 = [STRes189|STResList189],
+
+
+ ?line {STRes190,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO017.xsd','./msxsdtest/attribute',invalid),
+ STResList191 = [STRes190|STResList190],
+
+
+ ?line {STRes191,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO018.xsd','./msxsdtest/attribute',valid),
+ STResList192 = [STRes191|STResList191],
+
+
+ ?line {STRes192,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO019.xsd','./msxsdtest/attribute',invalid),
+ STResList193 = [STRes192|STResList192],
+
+
+ ?line {STRes193,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO020.xsd','./msxsdtest/attribute',invalid),
+ STResList194 = [STRes193|STResList193],
+
+
+ ?line {STRes194,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO021.xsd','./msxsdtest/attribute',invalid),
+ STResList195 = [STRes194|STResList194],
+
+
+ ?line {STRes195,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO022.xsd','./msxsdtest/attribute',invalid),
+ STResList196 = [STRes195|STResList195],
+
+
+ ?line {STRes196,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO023.xsd','./msxsdtest/attribute',invalid),
+ STResList197 = [STRes196|STResList196],
+
+
+ ?line {STRes197,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO024.xsd','./msxsdtest/attribute',invalid),
+ STResList198 = [STRes197|STResList197],
+
+
+ ?line {STRes198,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attO025.xsd','./msxsdtest/attribute',valid),
+ STResList199 = [STRes198|STResList198],
+
+
+ ?line {STRes199,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP001.xsd','./msxsdtest/attribute',invalid),
+ STResList200 = [STRes199|STResList199],
+
+
+ ?line {STRes200,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP002.xsd','./msxsdtest/attribute',invalid),
+ STResList201 = [STRes200|STResList200],
+
+
+ ?line {STRes201,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP003.xsd','./msxsdtest/attribute',invalid),
+ STResList202 = [STRes201|STResList201],
+
+
+ ?line {STRes202,S202} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP004.xsd','./msxsdtest/attribute',valid),
+ STResList203 = [STRes202|STResList202],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP004.xml','./msxsdtest/attribute',valid,S202),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes203,S203} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP005.xsd','./msxsdtest/attribute',valid),
+ STResList204 = [STRes203|STResList203],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP005.xml','./msxsdtest/attribute',invalid,S203),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes204,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP006.xsd','./msxsdtest/attribute',invalid),
+ STResList205 = [STRes204|STResList204],
+
+
+ ?line {STRes205,S205} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP007.xsd','./msxsdtest/attribute',valid),
+ STResList206 = [STRes205|STResList205],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP007.xml','./msxsdtest/attribute',valid,S205),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes206,S206} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP008.xsd','./msxsdtest/attribute',valid),
+ STResList207 = [STRes206|STResList206],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP008.xml','./msxsdtest/attribute',invalid,S206),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes207,S207} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP009.xsd','./msxsdtest/attribute',valid),
+ STResList208 = [STRes207|STResList207],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP009.xml','./msxsdtest/attribute',valid,S207),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes208,S208} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP010.xsd','./msxsdtest/attribute',valid),
+ STResList209 = [STRes208|STResList208],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP010.xml','./msxsdtest/attribute',invalid,S208),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes209,S209} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP011.xsd','./msxsdtest/attribute',valid),
+ STResList210 = [STRes209|STResList209],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP011.xml','./msxsdtest/attribute',valid,S209),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes210,S210} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP012.xsd','./msxsdtest/attribute',valid),
+ STResList211 = [STRes210|STResList210],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP012.xml','./msxsdtest/attribute',invalid,S210),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes211,S211} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP013.xsd','./msxsdtest/attribute',valid),
+ STResList212 = [STRes211|STResList211],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP013.xml','./msxsdtest/attribute',valid,S211),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes212,S212} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP014.xsd','./msxsdtest/attribute',valid),
+ STResList213 = [STRes212|STResList212],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP014.xml','./msxsdtest/attribute',invalid,S212),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes213,S213} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP015.xsd','./msxsdtest/attribute',valid),
+ STResList214 = [STRes213|STResList213],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP015.xml','./msxsdtest/attribute',valid,S213),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes214,S214} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP016.xsd','./msxsdtest/attribute',valid),
+ STResList215 = [STRes214|STResList214],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP016.xml','./msxsdtest/attribute',invalid,S214),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes215,S215} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP017.xsd','./msxsdtest/attribute',valid),
+ STResList216 = [STRes215|STResList215],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP017.xml','./msxsdtest/attribute',valid,S215),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes216,S216} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP018.xsd','./msxsdtest/attribute',valid),
+ STResList217 = [STRes216|STResList216],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP018.xml','./msxsdtest/attribute',invalid,S216),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes217,S217} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP019.xsd','./msxsdtest/attribute',valid),
+ STResList218 = [STRes217|STResList217],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP019.xml','./msxsdtest/attribute',valid,S217),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes218,S218} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP020.xsd','./msxsdtest/attribute',valid),
+ STResList219 = [STRes218|STResList218],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP020.xml','./msxsdtest/attribute',invalid,S218),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes219,S219} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP021.xsd','./msxsdtest/attribute',valid),
+ STResList220 = [STRes219|STResList219],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP021.xml','./msxsdtest/attribute',valid,S219),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes220,S220} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP022.xsd','./msxsdtest/attribute',valid),
+ STResList221 = [STRes220|STResList220],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP022.xml','./msxsdtest/attribute',valid,S220),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes221,S221} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP023.xsd','./msxsdtest/attribute',valid),
+ STResList222 = [STRes221|STResList221],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP023.xml','./msxsdtest/attribute',valid,S221),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes222,S222} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP024.xsd','./msxsdtest/attribute',valid),
+ STResList223 = [STRes222|STResList222],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP024.xml','./msxsdtest/attribute',valid,S222),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes223,S223} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP025.xsd','./msxsdtest/attribute',valid),
+ STResList224 = [STRes223|STResList223],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP025.xml','./msxsdtest/attribute',valid,S223),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes224,S224} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP026.xsd','./msxsdtest/attribute',valid),
+ STResList225 = [STRes224|STResList224],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP026.xml','./msxsdtest/attribute',valid,S224),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes225,S225} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP027.xsd','./msxsdtest/attribute',valid),
+ STResList226 = [STRes225|STResList225],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP027.xml','./msxsdtest/attribute',invalid,S225),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes226,S226} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP028.xsd','./msxsdtest/attribute',valid),
+ STResList227 = [STRes226|STResList226],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP028.xml','./msxsdtest/attribute',valid,S226),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes227,S227} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP029.xsd','./msxsdtest/attribute',valid),
+ STResList228 = [STRes227|STResList227],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP029.xml','./msxsdtest/attribute',valid,S227),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes228,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP030.xsd','./msxsdtest/attribute',invalid),
+ STResList229 = [STRes228|STResList228],
+
+
+ ?line {STRes229,S229} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP031.xsd','./msxsdtest/attribute',valid),
+ STResList230 = [STRes229|STResList229],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP031.xml','./msxsdtest/attribute',invalid,S229),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes230,S230} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attP032.xsd','./msxsdtest/attribute',valid),
+ STResList231 = [STRes230|STResList230],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attP032.xml','./msxsdtest/attribute',valid,S230),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes231,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ001.xsd','./msxsdtest/attribute',invalid),
+ STResList232 = [STRes231|STResList231],
+
+
+ ?line {STRes232,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ002.xsd','./msxsdtest/attribute',invalid),
+ STResList233 = [STRes232|STResList232],
+
+
+ ?line {STRes233,S233} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ003.xsd','./msxsdtest/attribute',valid),
+ STResList234 = [STRes233|STResList233],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attQ003.xml','./msxsdtest/attribute',valid,S233),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes234,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ004.xsd','./msxsdtest/attribute',invalid),
+ STResList235 = [STRes234|STResList234],
+
+
+ ?line {STRes235,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ005.xsd','./msxsdtest/attribute',invalid),
+ STResList236 = [STRes235|STResList235],
+
+
+ ?line {STRes236,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ006.xsd','./msxsdtest/attribute',invalid),
+ STResList237 = [STRes236|STResList236],
+
+
+ ?line {STRes237,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ007.xsd','./msxsdtest/attribute',invalid),
+ STResList238 = [STRes237|STResList237],
+
+
+ ?line {STRes238,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ008.xsd','./msxsdtest/attribute',invalid),
+ STResList239 = [STRes238|STResList238],
+
+
+ ?line {STRes239,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ009.xsd','./msxsdtest/attribute',invalid),
+ STResList240 = [STRes239|STResList239],
+
+
+ ?line {STRes240,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ010.xsd','./msxsdtest/attribute',valid),
+ STResList241 = [STRes240|STResList240],
+
+
+ ?line {STRes241,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ011.xsd','./msxsdtest/attribute',invalid),
+ STResList242 = [STRes241|STResList241],
+
+
+ ?line {STRes242,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ012.xsd','./msxsdtest/attribute',invalid),
+ STResList243 = [STRes242|STResList242],
+
+
+ ?line {STRes243,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ013.xsd','./msxsdtest/attribute',invalid),
+ STResList244 = [STRes243|STResList243],
+
+
+ ?line {STRes244,S244} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ014.xsd','./msxsdtest/attribute',valid),
+ STResList245 = [STRes244|STResList244],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attQ014.xml','./msxsdtest/attribute',valid,S244),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes245,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ015.xsd','./msxsdtest/attribute',invalid),
+ STResList246 = [STRes245|STResList245],
+
+
+ ?line {STRes246,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ016.xsd','./msxsdtest/attribute',invalid),
+ STResList247 = [STRes246|STResList246],
+
+
+ ?line {STRes247,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ017.xsd','./msxsdtest/attribute',invalid),
+ STResList248 = [STRes247|STResList247],
+
+
+ ?line {STRes248,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ018.xsd','./msxsdtest/attribute',invalid),
+ STResList249 = [STRes248|STResList248],
+
+
+ ?line {STRes249,S249} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attQ019.xsd','./msxsdtest/attribute',valid),
+ STResList250 = [STRes249|STResList249],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attQ019.xml','./msxsdtest/attribute',valid,S249),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes250,S250} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attZ001.xsd','./msxsdtest/attribute',valid),
+ STResList251 = [STRes250|STResList250],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attZ001.xml','./msxsdtest/attribute',invalid,S250),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes251,S251} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attZ002.xsd','./msxsdtest/attribute',valid),
+ STResList252 = [STRes251|STResList251],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attZ002.xml','./msxsdtest/attribute',invalid,S251),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes252,S252} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attribute/attZ003.xsd','./msxsdtest/attribute',valid),
+ STResList253 = [STRes252|STResList252],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attribute/attZ003.xml','./msxsdtest/attribute',invalid,S252),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes253,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA001.xsd','./msxsdtest/attributeGroup',valid),
+ STResList254 = [STRes253|STResList253],
+
+
+ ?line {STRes254,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA002.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList255 = [STRes254|STResList254],
+
+
+ ?line {STRes255,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA003.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList256 = [STRes255|STResList255],
+
+
+ ?line {STRes256,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA004.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList257 = [STRes256|STResList256],
+
+
+ ?line {STRes257,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA005.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList258 = [STRes257|STResList257],
+
+
+ ?line {STRes258,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA006.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList259 = [STRes258|STResList258],
+
+
+ ?line {STRes259,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA007.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList260 = [STRes259|STResList259],
+
+
+ ?line {STRes260,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA008.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList261 = [STRes260|STResList260],
+
+
+ ?line {STRes261,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgA009.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList262 = [STRes261|STResList261],
+
+
+ ?line {STRes262,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB001.xsd','./msxsdtest/attributeGroup',valid),
+ STResList263 = [STRes262|STResList262],
+
+
+ ?line {STRes263,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB002.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList264 = [STRes263|STResList263],
+
+
+ ?line {STRes264,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB003.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList265 = [STRes264|STResList264],
+
+
+ ?line {STRes265,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB004.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList266 = [STRes265|STResList265],
+
+
+ ?line {STRes266,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB005.xsd','./msxsdtest/attributeGroup',valid),
+ STResList267 = [STRes266|STResList266],
+
+
+ ?line {STRes267,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB006.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList268 = [STRes267|STResList267],
+
+
+ ?line {STRes268,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB007.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList269 = [STRes268|STResList268],
+
+
+ ?line {STRes269,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB008.xsd','./msxsdtest/attributeGroup',valid),
+ STResList270 = [STRes269|STResList269],
+
+
+ ?line {STRes270,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB009.xsd','./msxsdtest/attributeGroup',valid),
+ STResList271 = [STRes270|STResList270],
+
+
+ ?line {STRes271,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB010.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList272 = [STRes271|STResList271],
+
+
+ ?line {STRes272,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB011.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList273 = [STRes272|STResList272],
+
+
+ ?line {STRes273,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB012.xsd','./msxsdtest/attributeGroup',valid),
+ STResList274 = [STRes273|STResList273],
+
+
+ ?line {STRes274,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB013.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList275 = [STRes274|STResList274],
+
+
+ ?line {STRes275,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB014.xsd','./msxsdtest/attributeGroup',valid),
+ STResList276 = [STRes275|STResList275],
+
+
+ ?line {STRes276,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgB015.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList277 = [STRes276|STResList276],
+
+
+ ?line {STRes277,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC001.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList278 = [STRes277|STResList277],
+
+
+ ?line {STRes278,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC002.xsd','./msxsdtest/attributeGroup',valid),
+ STResList279 = [STRes278|STResList278],
+
+
+ ?line {STRes279,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC003.xsd','./msxsdtest/attributeGroup',valid),
+ STResList280 = [STRes279|STResList279],
+
+
+ ?line {STRes280,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC004.xsd','./msxsdtest/attributeGroup',valid),
+ STResList281 = [STRes280|STResList280],
+
+
+ ?line {STRes281,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC005.xsd','./msxsdtest/attributeGroup',valid),
+ STResList282 = [STRes281|STResList281],
+
+
+ ?line {STRes282,S282} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC006.xsd','./msxsdtest/attributeGroup',valid),
+ STResList283 = [STRes282|STResList282],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC006.xml','./msxsdtest/attributeGroup',invalid,S282),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ ?line {STRes283,S283} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC007.xsd','./msxsdtest/attributeGroup',valid),
+ STResList284 = [STRes283|STResList283],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC007.xml','./msxsdtest/attributeGroup',valid,S283),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes284,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC008.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList285 = [STRes284|STResList284],
+
+
+ ?line {STRes285,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC009.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList286 = [STRes285|STResList285],
+
+
+ ?line {STRes286,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC010.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList287 = [STRes286|STResList286],
+
+
+ ?line {STRes287,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC011.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList288 = [STRes287|STResList287],
+
+
+ ?line {STRes288,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC012.xsd','./msxsdtest/attributeGroup',valid),
+ STResList289 = [STRes288|STResList288],
+
+
+ ?line {STRes289,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC013.xsd','./msxsdtest/attributeGroup',valid),
+ STResList290 = [STRes289|STResList289],
+
+
+ ?line {STRes290,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC014.xsd','./msxsdtest/attributeGroup',valid),
+ STResList291 = [STRes290|STResList290],
+
+
+ ?line {STRes291,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC015.xsd','./msxsdtest/attributeGroup',valid),
+ STResList292 = [STRes291|STResList291],
+
+
+ ?line {STRes292,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC016.xsd','./msxsdtest/attributeGroup',valid),
+ STResList293 = [STRes292|STResList292],
+
+
+ ?line {STRes293,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC017.xsd','./msxsdtest/attributeGroup',valid),
+ STResList294 = [STRes293|STResList293],
+
+
+ ?line {STRes294,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC018.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList295 = [STRes294|STResList294],
+
+
+ ?line {STRes295,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC019.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList296 = [STRes295|STResList295],
+
+
+ ?line {STRes296,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC020.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList297 = [STRes296|STResList296],
+
+
+ ?line {STRes297,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC021.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList298 = [STRes297|STResList297],
+
+
+ ?line {STRes298,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC022.xsd','./msxsdtest/attributeGroup',valid),
+ STResList299 = [STRes298|STResList298],
+
+
+ ?line {STRes299,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC023.xsd','./msxsdtest/attributeGroup',valid),
+ STResList300 = [STRes299|STResList299],
+
+
+ ?line {STRes300,S300} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC024.xsd','./msxsdtest/attributeGroup',valid),
+ STResList301 = [STRes300|STResList300],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC024.xml','./msxsdtest/attributeGroup',valid,S300),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes301,S301} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC025.xsd','./msxsdtest/attributeGroup',valid),
+ STResList302 = [STRes301|STResList301],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC025.xml','./msxsdtest/attributeGroup',invalid,S301),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes302,S302} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC026.xsd','./msxsdtest/attributeGroup',valid),
+ STResList303 = [STRes302|STResList302],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC026.xml','./msxsdtest/attributeGroup',valid,S302),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes303,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC027.xsd','./msxsdtest/attributeGroup',valid),
+ STResList304 = [STRes303|STResList303],
+
+
+ ?line {STRes304,S304} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC028.xsd','./msxsdtest/attributeGroup',valid),
+ STResList305 = [STRes304|STResList304],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC028.xml','./msxsdtest/attributeGroup',invalid,S304),
+ ITResList113 = [ITRes112|ITResList112],
+
+
+ ?line {STRes305,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC029.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList306 = [STRes305|STResList305],
+
+
+ ?line {STRes306,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC030.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList307 = [STRes306|STResList306],
+
+
+ ?line {STRes307,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC031.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList308 = [STRes307|STResList307],
+
+
+ ?line {STRes308,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC032.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList309 = [STRes308|STResList308],
+
+
+ ?line {STRes309,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC033.xsd','./msxsdtest/attributeGroup',valid),
+ STResList310 = [STRes309|STResList309],
+
+
+ ?line {STRes310,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC034.xsd','./msxsdtest/attributeGroup',valid),
+ STResList311 = [STRes310|STResList310],
+
+
+ ?line {STRes311,S311} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC035.xsd','./msxsdtest/attributeGroup',valid),
+ STResList312 = [STRes311|STResList311],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC035.xml','./msxsdtest/attributeGroup',valid,S311),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes312,S312} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC036.xsd','./msxsdtest/attributeGroup',valid),
+ STResList313 = [STRes312|STResList312],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC036.xml','./msxsdtest/attributeGroup',valid,S312),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes313,S313} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC037.xsd','./msxsdtest/attributeGroup',valid),
+ STResList314 = [STRes313|STResList313],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC037.xml','./msxsdtest/attributeGroup',valid,S313),
+ ITResList116 = [ITRes115|ITResList115],
+
+
+ ?line {STRes314,S314} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC038.xsd','./msxsdtest/attributeGroup',valid),
+ STResList315 = [STRes314|STResList314],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgC038.xml','./msxsdtest/attributeGroup',valid,S314),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes315,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC039.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList316 = [STRes315|STResList315],
+
+
+ ?line {STRes316,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC040.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList317 = [STRes316|STResList316],
+
+
+ ?line {STRes317,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC041.xsd','./msxsdtest/attributeGroup',valid),
+ STResList318 = [STRes317|STResList317],
+
+
+ ?line {STRes318,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC042.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList319 = [STRes318|STResList318],
+
+
+ ?line {STRes319,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC043.xsd','./msxsdtest/attributeGroup',valid),
+ STResList320 = [STRes319|STResList319],
+
+
+ ?line {STRes320,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC044.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList321 = [STRes320|STResList320],
+
+
+ ?line {STRes321,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgC045.xsd','./msxsdtest/attributeGroup',valid),
+ STResList322 = [STRes321|STResList321],
+
+
+ ?line {STRes322,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD001.xsd','./msxsdtest/attributeGroup',valid),
+ STResList323 = [STRes322|STResList322],
+
+
+ ?line {STRes323,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD002.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList324 = [STRes323|STResList323],
+
+
+ ?line {STRes324,S324} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD003.xsd','./msxsdtest/attributeGroup',valid),
+ STResList325 = [STRes324|STResList324],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD003.xml','./msxsdtest/attributeGroup',valid,S324),
+ ITResList118 = [ITRes117|ITResList117],
+
+
+ ?line {STRes325,S325} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD004.xsd','./msxsdtest/attributeGroup',valid),
+ STResList326 = [STRes325|STResList325],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD004.xml','./msxsdtest/attributeGroup',valid,S325),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes326,S326} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD005.xsd','./msxsdtest/attributeGroup',valid),
+ STResList327 = [STRes326|STResList326],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD005.xml','./msxsdtest/attributeGroup',valid,S326),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes327,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD006.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList328 = [STRes327|STResList327],
+
+
+ ?line {STRes328,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD007.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList329 = [STRes328|STResList328],
+
+
+ ?line {STRes329,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD008.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList330 = [STRes329|STResList329],
+
+
+ ?line {STRes330,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD009.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList331 = [STRes330|STResList330],
+
+
+ ?line {STRes331,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD010.xsd','./msxsdtest/attributeGroup',valid),
+ STResList332 = [STRes331|STResList331],
+
+
+ ?line {STRes332,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD011.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList333 = [STRes332|STResList332],
+
+
+ ?line {STRes333,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD012.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList334 = [STRes333|STResList333],
+
+
+ ?line {STRes334,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD013.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList335 = [STRes334|STResList334],
+
+
+ ?line {STRes335,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD014.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList336 = [STRes335|STResList335],
+
+
+ ?line {STRes336,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD015.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList337 = [STRes336|STResList336],
+
+
+ ?line {STRes337,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD016.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList338 = [STRes337|STResList337],
+
+
+ ?line {STRes338,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD017.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList339 = [STRes338|STResList338],
+
+
+ ?line {STRes339,S339} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD018.xsd','./msxsdtest/attributeGroup',valid),
+ STResList340 = [STRes339|STResList339],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD018.xml','./msxsdtest/attributeGroup',valid,S339),
+ ITResList121 = [ITRes120|ITResList120],
+
+
+ ?line {STRes340,S340} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD019.xsd','./msxsdtest/attributeGroup',valid),
+ STResList341 = [STRes340|STResList340],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD019.xml','./msxsdtest/attributeGroup',valid,S340),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes341,S341} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD020.xsd','./msxsdtest/attributeGroup',valid),
+ STResList342 = [STRes341|STResList341],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD020.xml','./msxsdtest/attributeGroup',valid,S341),
+ ITResList123 = [ITRes122|ITResList122],
+
+
+ ?line {STRes342,S342} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD021.xsd','./msxsdtest/attributeGroup',valid),
+ STResList343 = [STRes342|STResList342],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD021.xml','./msxsdtest/attributeGroup',valid,S342),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes343,S343} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD022.xsd','./msxsdtest/attributeGroup',valid),
+ STResList344 = [STRes343|STResList343],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD022.xml','./msxsdtest/attributeGroup',valid,S343),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes344,S344} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD023.xsd','./msxsdtest/attributeGroup',valid),
+ STResList345 = [STRes344|STResList344],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD023.xml','./msxsdtest/attributeGroup',invalid,S344),
+ ITResList126 = [ITRes125|ITResList125],
+
+
+ ?line {STRes345,S345} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD024.xsd','./msxsdtest/attributeGroup',valid),
+ STResList346 = [STRes345|STResList345],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD024.xml','./msxsdtest/attributeGroup',invalid,S345),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ ?line {STRes346,S346} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD025.xsd','./msxsdtest/attributeGroup',valid),
+ STResList347 = [STRes346|STResList346],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD025.xml','./msxsdtest/attributeGroup',valid,S346),
+ ITResList128 = [ITRes127|ITResList127],
+
+
+ ?line {STRes347,S347} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD026.xsd','./msxsdtest/attributeGroup',valid),
+ STResList348 = [STRes347|STResList347],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD026.xml','./msxsdtest/attributeGroup',invalid,S347),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes348,S348} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD027.xsd','./msxsdtest/attributeGroup',valid),
+ STResList349 = [STRes348|STResList348],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD027.xml','./msxsdtest/attributeGroup',valid,S348),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ ?line {STRes349,S349} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD028.xsd','./msxsdtest/attributeGroup',valid),
+ STResList350 = [STRes349|STResList349],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD028.xml','./msxsdtest/attributeGroup',invalid,S349),
+ ITResList131 = [ITRes130|ITResList130],
+
+
+ ?line {STRes350,S350} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD029.xsd','./msxsdtest/attributeGroup',valid),
+ STResList351 = [STRes350|STResList350],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD029.xml','./msxsdtest/attributeGroup',valid,S350),
+ ITResList132 = [ITRes131|ITResList131],
+
+
+ ?line {STRes351,S351} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD030.xsd','./msxsdtest/attributeGroup',valid),
+ STResList352 = [STRes351|STResList351],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD030.xml','./msxsdtest/attributeGroup',invalid,S351),
+ ITResList133 = [ITRes132|ITResList132],
+
+
+ ?line {STRes352,S352} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD031.xsd','./msxsdtest/attributeGroup',valid),
+ STResList353 = [STRes352|STResList352],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD031.xml','./msxsdtest/attributeGroup',valid,S352),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes353,S353} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD032.xsd','./msxsdtest/attributeGroup',valid),
+ STResList354 = [STRes353|STResList353],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD032.xml','./msxsdtest/attributeGroup',valid,S353),
+ ITResList135 = [ITRes134|ITResList134],
+
+
+ ?line {STRes354,S354} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD033.xsd','./msxsdtest/attributeGroup',valid),
+ STResList355 = [STRes354|STResList354],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD033.xml','./msxsdtest/attributeGroup',valid,S354),
+ ITResList136 = [ITRes135|ITResList135],
+
+
+ ?line {STRes355,S355} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD034.xsd','./msxsdtest/attributeGroup',valid),
+ STResList356 = [STRes355|STResList355],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD034.xml','./msxsdtest/attributeGroup',valid,S355),
+ ITResList137 = [ITRes136|ITResList136],
+
+
+ ?line {STRes356,S356} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD035.xsd','./msxsdtest/attributeGroup',valid),
+ STResList357 = [STRes356|STResList356],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD035.xml','./msxsdtest/attributeGroup',invalid,S356),
+ ITResList138 = [ITRes137|ITResList137],
+
+
+ ?line {STRes357,S357} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD036.xsd','./msxsdtest/attributeGroup',valid),
+ STResList358 = [STRes357|STResList357],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD036.xml','./msxsdtest/attributeGroup',valid,S357),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes358,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD037.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList359 = [STRes358|STResList358],
+
+
+ ?line {STRes359,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD038.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList360 = [STRes359|STResList359],
+
+
+ ?line {STRes360,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD039.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList361 = [STRes360|STResList360],
+
+
+ ?line {STRes361,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD040.xsd','./msxsdtest/attributeGroup',valid),
+ STResList362 = [STRes361|STResList361],
+
+
+ ?line {STRes362,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD041.xsd','./msxsdtest/attributeGroup',invalid),
+ STResList363 = [STRes362|STResList362],
+
+
+ ?line {STRes363,S363} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/attributeGroup/attgD042.xsd','./msxsdtest/attributeGroup',valid),
+ STResList364 = [STRes363|STResList363],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/attributeGroup/attgD042.xml','./msxsdtest/attributeGroup',invalid,S363),
+ ITResList140 = [ITRes139|ITResList139],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList364,ITResList140).
+
+%% Syntax Checking for top level complexType Declaration.
+%% Syntax Checking for simpleContent complexType Declaration.
+%% Syntax Checking for comlexContent complexType Declaration
+%% complexType Validation checking
+%% complexType Schema Component Constraints
+
+ct(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA001.xsd','./msxsdtest/complexType',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA001.xml','./msxsdtest/complexType',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA002.xsd','./msxsdtest/complexType',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA002.xml','./msxsdtest/complexType',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA003.xsd','./msxsdtest/complexType',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA003.xml','./msxsdtest/complexType',valid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA004.xsd','./msxsdtest/complexType',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA005.xsd','./msxsdtest/complexType',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA005.xml','./msxsdtest/complexType',valid,S4),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA006.xsd','./msxsdtest/complexType',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA007.xsd','./msxsdtest/complexType',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA008.xsd','./msxsdtest/complexType',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA009.xsd','./msxsdtest/complexType',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA009.xml','./msxsdtest/complexType',valid,S8),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA010.xsd','./msxsdtest/complexType',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA010.xml','./msxsdtest/complexType',valid,S9),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA011.xsd','./msxsdtest/complexType',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA011.xml','./msxsdtest/complexType',valid,S10),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA012.xsd','./msxsdtest/complexType',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA012.xml','./msxsdtest/complexType',valid,S11),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA013.xsd','./msxsdtest/complexType',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA013.xml','./msxsdtest/complexType',valid,S12),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA014.xsd','./msxsdtest/complexType',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA015.xsd','./msxsdtest/complexType',invalid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA016.xsd','./msxsdtest/complexType',invalid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA017.xsd','./msxsdtest/complexType',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA017.xml','./msxsdtest/complexType',valid,S16),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA018.xsd','./msxsdtest/complexType',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA018.xml','./msxsdtest/complexType',valid,S17),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA019.xsd','./msxsdtest/complexType',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA019.xml','./msxsdtest/complexType',valid,S18),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA020.xsd','./msxsdtest/complexType',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA020.xml','./msxsdtest/complexType',valid,S19),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA021.xsd','./msxsdtest/complexType',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA021.xml','./msxsdtest/complexType',valid,S20),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA022.xsd','./msxsdtest/complexType',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA022.xml','./msxsdtest/complexType',valid,S21),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA023.xsd','./msxsdtest/complexType',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA024.xsd','./msxsdtest/complexType',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA025.xsd','./msxsdtest/complexType',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA026.xsd','./msxsdtest/complexType',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA026.xml','./msxsdtest/complexType',valid,S25),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA027.xsd','./msxsdtest/complexType',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA027.xml','./msxsdtest/complexType',valid,S26),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA028.xsd','./msxsdtest/complexType',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA029.xsd','./msxsdtest/complexType',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA030.xsd','./msxsdtest/complexType',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA031.xsd','./msxsdtest/complexType',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA032.xsd','./msxsdtest/complexType',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA032.xml','./msxsdtest/complexType',valid,S31),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA033.xsd','./msxsdtest/complexType',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA033.xml','./msxsdtest/complexType',valid,S32),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA034.xsd','./msxsdtest/complexType',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA034.xml','./msxsdtest/complexType',valid,S33),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA035.xsd','./msxsdtest/complexType',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA035.xml','./msxsdtest/complexType',valid,S34),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA036.xsd','./msxsdtest/complexType',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA037.xsd','./msxsdtest/complexType',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA037.xml','./msxsdtest/complexType',valid,S36),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA038.xsd','./msxsdtest/complexType',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA039.xsd','./msxsdtest/complexType',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA040.xsd','./msxsdtest/complexType',invalid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA041.xsd','./msxsdtest/complexType',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA041.xml','./msxsdtest/complexType',valid,S40),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA042.xsd','./msxsdtest/complexType',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA043.xsd','./msxsdtest/complexType',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA044.xsd','./msxsdtest/complexType',invalid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA045.xsd','./msxsdtest/complexType',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA045.xml','./msxsdtest/complexType',valid,S44),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA046.xsd','./msxsdtest/complexType',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA047.xsd','./msxsdtest/complexType',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA047.xml','./msxsdtest/complexType',valid,S46),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA048.xsd','./msxsdtest/complexType',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA048.xml','./msxsdtest/complexType',valid,S47),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctA049.xsd','./msxsdtest/complexType',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctA049.xml','./msxsdtest/complexType',valid,S48),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB001.xsd','./msxsdtest/complexType',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB001.xml','./msxsdtest/complexType',valid,S49),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB002.xsd','./msxsdtest/complexType',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB003.xsd','./msxsdtest/complexType',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB003.xml','./msxsdtest/complexType',valid,S51),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB004.xsd','./msxsdtest/complexType',invalid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB005.xsd','./msxsdtest/complexType',invalid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB006.xsd','./msxsdtest/complexType',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB007.xsd','./msxsdtest/complexType',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB008.xsd','./msxsdtest/complexType',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB009.xsd','./msxsdtest/complexType',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB010.xsd','./msxsdtest/complexType',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB011.xsd','./msxsdtest/complexType',invalid),
+ STResList60 = [STRes59|STResList59],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB012.xsd','./msxsdtest/complexType',invalid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB013.xsd','./msxsdtest/complexType',invalid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB014.xsd','./msxsdtest/complexType',invalid),
+ STResList63 = [STRes62|STResList62],
+
+
+ ?line {STRes63,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB015.xsd','./msxsdtest/complexType',invalid),
+ STResList64 = [STRes63|STResList63],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB016.xsd','./msxsdtest/complexType',invalid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB017.xsd','./msxsdtest/complexType',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB017.xml','./msxsdtest/complexType',valid,S65),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes66,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB018.xsd','./msxsdtest/complexType',invalid),
+ STResList67 = [STRes66|STResList66],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB019.xsd','./msxsdtest/complexType',invalid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB020.xsd','./msxsdtest/complexType',invalid),
+ STResList69 = [STRes68|STResList68],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB021.xsd','./msxsdtest/complexType',invalid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB022.xsd','./msxsdtest/complexType',invalid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB023.xsd','./msxsdtest/complexType',invalid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB024.xsd','./msxsdtest/complexType',invalid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB025.xsd','./msxsdtest/complexType',invalid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB026.xsd','./msxsdtest/complexType',invalid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB027.xsd','./msxsdtest/complexType',invalid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB028.xsd','./msxsdtest/complexType',invalid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB029.xsd','./msxsdtest/complexType',invalid),
+ STResList78 = [STRes77|STResList77],
+
+
+ ?line {STRes78,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB030.xsd','./msxsdtest/complexType',invalid),
+ STResList79 = [STRes78|STResList78],
+
+
+ ?line {STRes79,S79} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB031.xsd','./msxsdtest/complexType',valid),
+ STResList80 = [STRes79|STResList79],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB031.xml','./msxsdtest/complexType',valid,S79),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes80,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB032.xsd','./msxsdtest/complexType',invalid),
+ STResList81 = [STRes80|STResList80],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB033.xsd','./msxsdtest/complexType',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB034.xsd','./msxsdtest/complexType',invalid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB035.xsd','./msxsdtest/complexType',invalid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB036.xsd','./msxsdtest/complexType',invalid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB037.xsd','./msxsdtest/complexType',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB038.xsd','./msxsdtest/complexType',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ ?line {STRes87,S87} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB039.xsd','./msxsdtest/complexType',valid),
+ STResList88 = [STRes87|STResList87],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB039.xml','./msxsdtest/complexType',valid,S87),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes88,S88} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB040.xsd','./msxsdtest/complexType',valid),
+ STResList89 = [STRes88|STResList88],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB040.xml','./msxsdtest/complexType',valid,S88),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes89,S89} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB041.xsd','./msxsdtest/complexType',valid),
+ STResList90 = [STRes89|STResList89],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB041.xml','./msxsdtest/complexType',valid,S89),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes90,S90} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB042.xsd','./msxsdtest/complexType',valid),
+ STResList91 = [STRes90|STResList90],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB042.xml','./msxsdtest/complexType',valid,S90),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes91,S91} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB043.xsd','./msxsdtest/complexType',valid),
+ STResList92 = [STRes91|STResList91],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB043.xml','./msxsdtest/complexType',valid,S91),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes92,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB044.xsd','./msxsdtest/complexType',invalid),
+ STResList93 = [STRes92|STResList92],
+
+
+ ?line {STRes93,S93} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB045.xsd','./msxsdtest/complexType',valid),
+ STResList94 = [STRes93|STResList93],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB045.xml','./msxsdtest/complexType',valid,S93),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes94,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB046.xsd','./msxsdtest/complexType',invalid),
+ STResList95 = [STRes94|STResList94],
+
+
+ ?line {STRes95,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB047.xsd','./msxsdtest/complexType',invalid),
+ STResList96 = [STRes95|STResList95],
+
+
+ ?line {STRes96,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB048.xsd','./msxsdtest/complexType',invalid),
+ STResList97 = [STRes96|STResList96],
+
+
+ ?line {STRes97,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB049.xsd','./msxsdtest/complexType',invalid),
+ STResList98 = [STRes97|STResList97],
+
+
+ ?line {STRes98,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB050.xsd','./msxsdtest/complexType',invalid),
+ STResList99 = [STRes98|STResList98],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB051.xsd','./msxsdtest/complexType',invalid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB052.xsd','./msxsdtest/complexType',invalid),
+ STResList101 = [STRes100|STResList100],
+
+
+ ?line {STRes101,S101} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB053.xsd','./msxsdtest/complexType',valid),
+ STResList102 = [STRes101|STResList101],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB053.xml','./msxsdtest/complexType',valid,S101),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes102,S102} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB054.xsd','./msxsdtest/complexType',valid),
+ STResList103 = [STRes102|STResList102],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB054.xml','./msxsdtest/complexType',valid,S102),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes103,S103} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB055.xsd','./msxsdtest/complexType',valid),
+ STResList104 = [STRes103|STResList103],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB055.xml','./msxsdtest/complexType',valid,S103),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes104,S104} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB056.xsd','./msxsdtest/complexType',valid),
+ STResList105 = [STRes104|STResList104],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB056.xml','./msxsdtest/complexType',valid,S104),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes105,S105} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB057.xsd','./msxsdtest/complexType',valid),
+ STResList106 = [STRes105|STResList105],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB057.xml','./msxsdtest/complexType',valid,S105),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB058.xsd','./msxsdtest/complexType',invalid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB059.xsd','./msxsdtest/complexType',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB059.xml','./msxsdtest/complexType',valid,S107),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes108,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB060.xsd','./msxsdtest/complexType',invalid),
+ STResList109 = [STRes108|STResList108],
+
+
+ ?line {STRes109,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB061.xsd','./msxsdtest/complexType',invalid),
+ STResList110 = [STRes109|STResList109],
+
+
+ ?line {STRes110,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB062.xsd','./msxsdtest/complexType',invalid),
+ STResList111 = [STRes110|STResList110],
+
+
+ ?line {STRes111,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB063.xsd','./msxsdtest/complexType',invalid),
+ STResList112 = [STRes111|STResList111],
+
+
+ ?line {STRes112,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB064.xsd','./msxsdtest/complexType',invalid),
+ STResList113 = [STRes112|STResList112],
+
+
+ ?line {STRes113,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB065.xsd','./msxsdtest/complexType',invalid),
+ STResList114 = [STRes113|STResList113],
+
+
+ ?line {STRes114,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB066.xsd','./msxsdtest/complexType',invalid),
+ STResList115 = [STRes114|STResList114],
+
+
+ ?line {STRes115,S115} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB067.xsd','./msxsdtest/complexType',valid),
+ STResList116 = [STRes115|STResList115],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB067.xml','./msxsdtest/complexType',valid,S115),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes116,S116} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB068.xsd','./msxsdtest/complexType',valid),
+ STResList117 = [STRes116|STResList116],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB068.xml','./msxsdtest/complexType',valid,S116),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes117,S117} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB069.xsd','./msxsdtest/complexType',valid),
+ STResList118 = [STRes117|STResList117],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB069.xml','./msxsdtest/complexType',valid,S117),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes118,S118} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB070.xsd','./msxsdtest/complexType',valid),
+ STResList119 = [STRes118|STResList118],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB070.xml','./msxsdtest/complexType',valid,S118),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes119,S119} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB071.xsd','./msxsdtest/complexType',valid),
+ STResList120 = [STRes119|STResList119],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB071.xml','./msxsdtest/complexType',valid,S119),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes120,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB072.xsd','./msxsdtest/complexType',invalid),
+ STResList121 = [STRes120|STResList120],
+
+
+ ?line {STRes121,S121} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB073.xsd','./msxsdtest/complexType',valid),
+ STResList122 = [STRes121|STResList121],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB073.xml','./msxsdtest/complexType',valid,S121),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes122,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB074.xsd','./msxsdtest/complexType',invalid),
+ STResList123 = [STRes122|STResList122],
+
+
+ ?line {STRes123,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB075.xsd','./msxsdtest/complexType',invalid),
+ STResList124 = [STRes123|STResList123],
+
+
+ ?line {STRes124,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB076.xsd','./msxsdtest/complexType',invalid),
+ STResList125 = [STRes124|STResList124],
+
+
+ ?line {STRes125,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB077.xsd','./msxsdtest/complexType',invalid),
+ STResList126 = [STRes125|STResList125],
+
+
+ ?line {STRes126,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB078.xsd','./msxsdtest/complexType',invalid),
+ STResList127 = [STRes126|STResList126],
+
+
+ ?line {STRes127,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB079.xsd','./msxsdtest/complexType',invalid),
+ STResList128 = [STRes127|STResList127],
+
+
+ ?line {STRes128,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB080.xsd','./msxsdtest/complexType',invalid),
+ STResList129 = [STRes128|STResList128],
+
+
+ ?line {STRes129,S129} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB081.xsd','./msxsdtest/complexType',valid),
+ STResList130 = [STRes129|STResList129],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB081.xml','./msxsdtest/complexType',valid,S129),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes130,S130} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB082.xsd','./msxsdtest/complexType',valid),
+ STResList131 = [STRes130|STResList130],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB082.xml','./msxsdtest/complexType',valid,S130),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes131,S131} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB083.xsd','./msxsdtest/complexType',valid),
+ STResList132 = [STRes131|STResList131],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB083.xml','./msxsdtest/complexType',valid,S131),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes132,S132} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB084.xsd','./msxsdtest/complexType',valid),
+ STResList133 = [STRes132|STResList132],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB084.xml','./msxsdtest/complexType',valid,S132),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes133,S133} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB085.xsd','./msxsdtest/complexType',valid),
+ STResList134 = [STRes133|STResList133],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB085.xml','./msxsdtest/complexType',valid,S133),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes134,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB086.xsd','./msxsdtest/complexType',invalid),
+ STResList135 = [STRes134|STResList134],
+
+
+ ?line {STRes135,S135} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB087.xsd','./msxsdtest/complexType',valid),
+ STResList136 = [STRes135|STResList135],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB087.xml','./msxsdtest/complexType',valid,S135),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes136,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB088.xsd','./msxsdtest/complexType',invalid),
+ STResList137 = [STRes136|STResList136],
+
+
+ ?line {STRes137,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB089.xsd','./msxsdtest/complexType',invalid),
+ STResList138 = [STRes137|STResList137],
+
+
+ ?line {STRes138,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB090.xsd','./msxsdtest/complexType',invalid),
+ STResList139 = [STRes138|STResList138],
+
+
+ ?line {STRes139,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB091.xsd','./msxsdtest/complexType',invalid),
+ STResList140 = [STRes139|STResList139],
+
+
+ ?line {STRes140,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB092.xsd','./msxsdtest/complexType',invalid),
+ STResList141 = [STRes140|STResList140],
+
+
+ ?line {STRes141,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB093.xsd','./msxsdtest/complexType',invalid),
+ STResList142 = [STRes141|STResList141],
+
+
+ ?line {STRes142,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB094.xsd','./msxsdtest/complexType',invalid),
+ STResList143 = [STRes142|STResList142],
+
+
+ ?line {STRes143,S143} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB095.xsd','./msxsdtest/complexType',valid),
+ STResList144 = [STRes143|STResList143],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB095.xml','./msxsdtest/complexType',valid,S143),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes144,S144} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB096.xsd','./msxsdtest/complexType',valid),
+ STResList145 = [STRes144|STResList144],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB096.xml','./msxsdtest/complexType',valid,S144),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes145,S145} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB097.xsd','./msxsdtest/complexType',valid),
+ STResList146 = [STRes145|STResList145],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB097.xml','./msxsdtest/complexType',valid,S145),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes146,S146} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB098.xsd','./msxsdtest/complexType',valid),
+ STResList147 = [STRes146|STResList146],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB098.xml','./msxsdtest/complexType',valid,S146),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes147,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB099.xsd','./msxsdtest/complexType',invalid),
+ STResList148 = [STRes147|STResList147],
+
+
+ ?line {STRes148,S148} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB100.xsd','./msxsdtest/complexType',valid),
+ STResList149 = [STRes148|STResList148],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB100.xml','./msxsdtest/complexType',valid,S148),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes149,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB101.xsd','./msxsdtest/complexType',invalid),
+ STResList150 = [STRes149|STResList149],
+
+
+ ?line {STRes150,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB102.xsd','./msxsdtest/complexType',invalid),
+ STResList151 = [STRes150|STResList150],
+
+
+ ?line {STRes151,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB103.xsd','./msxsdtest/complexType',invalid),
+ STResList152 = [STRes151|STResList151],
+
+
+ ?line {STRes152,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB104.xsd','./msxsdtest/complexType',invalid),
+ STResList153 = [STRes152|STResList152],
+
+
+ ?line {STRes153,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB105.xsd','./msxsdtest/complexType',invalid),
+ STResList154 = [STRes153|STResList153],
+
+
+ ?line {STRes154,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB106.xsd','./msxsdtest/complexType',invalid),
+ STResList155 = [STRes154|STResList154],
+
+
+ ?line {STRes155,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB107.xsd','./msxsdtest/complexType',invalid),
+ STResList156 = [STRes155|STResList155],
+
+
+ ?line {STRes156,S156} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB108.xsd','./msxsdtest/complexType',valid),
+ STResList157 = [STRes156|STResList156],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB108.xml','./msxsdtest/complexType',valid,S156),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes157,S157} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB109.xsd','./msxsdtest/complexType',valid),
+ STResList158 = [STRes157|STResList157],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB109.xml','./msxsdtest/complexType',valid,S157),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes158,S158} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB110.xsd','./msxsdtest/complexType',valid),
+ STResList159 = [STRes158|STResList158],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB110.xml','./msxsdtest/complexType',valid,S158),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes159,S159} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB111.xsd','./msxsdtest/complexType',valid),
+ STResList160 = [STRes159|STResList159],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB111.xml','./msxsdtest/complexType',valid,S159),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes160,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB112.xsd','./msxsdtest/complexType',invalid),
+ STResList161 = [STRes160|STResList160],
+
+
+ ?line {STRes161,S161} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB113.xsd','./msxsdtest/complexType',valid),
+ STResList162 = [STRes161|STResList161],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctB113.xml','./msxsdtest/complexType',valid,S161),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes162,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB114.xsd','./msxsdtest/complexType',invalid),
+ STResList163 = [STRes162|STResList162],
+
+
+ ?line {STRes163,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB115.xsd','./msxsdtest/complexType',invalid),
+ STResList164 = [STRes163|STResList163],
+
+
+ ?line {STRes164,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB116.xsd','./msxsdtest/complexType',invalid),
+ STResList165 = [STRes164|STResList164],
+
+
+ ?line {STRes165,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB117.xsd','./msxsdtest/complexType',invalid),
+ STResList166 = [STRes165|STResList165],
+
+
+ ?line {STRes166,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB118.xsd','./msxsdtest/complexType',invalid),
+ STResList167 = [STRes166|STResList166],
+
+
+ ?line {STRes167,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB119.xsd','./msxsdtest/complexType',invalid),
+ STResList168 = [STRes167|STResList167],
+
+
+ ?line {STRes168,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB120.xsd','./msxsdtest/complexType',invalid),
+ STResList169 = [STRes168|STResList168],
+
+
+ ?line {STRes169,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB121.xsd','./msxsdtest/complexType',invalid),
+ STResList170 = [STRes169|STResList169],
+
+
+ ?line {STRes170,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB122.xsd','./msxsdtest/complexType',invalid),
+ STResList171 = [STRes170|STResList170],
+
+
+ ?line {STRes171,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB123.xsd','./msxsdtest/complexType',invalid),
+ STResList172 = [STRes171|STResList171],
+
+
+ ?line {STRes172,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB124.xsd','./msxsdtest/complexType',invalid),
+ STResList173 = [STRes172|STResList172],
+
+
+ ?line {STRes173,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctB125.xsd','./msxsdtest/complexType',invalid),
+ STResList174 = [STRes173|STResList173],
+
+
+ ?line {STRes174,S174} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC001.xsd','./msxsdtest/complexType',valid),
+ STResList175 = [STRes174|STResList174],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctC001.xml','./msxsdtest/complexType',valid,S174),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes175,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC002.xsd','./msxsdtest/complexType',invalid),
+ STResList176 = [STRes175|STResList175],
+
+
+ ?line {STRes176,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC003.xsd','./msxsdtest/complexType',invalid),
+ STResList177 = [STRes176|STResList176],
+
+
+ ?line {STRes177,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC004.xsd','./msxsdtest/complexType',invalid),
+ STResList178 = [STRes177|STResList177],
+
+
+ ?line {STRes178,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC005.xsd','./msxsdtest/complexType',invalid),
+ STResList179 = [STRes178|STResList178],
+
+
+ ?line {STRes179,S179} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC006.xsd','./msxsdtest/complexType',valid),
+ STResList180 = [STRes179|STResList179],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctC006.xml','./msxsdtest/complexType',valid,S179),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes180,S180} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC007.xsd','./msxsdtest/complexType',valid),
+ STResList181 = [STRes180|STResList180],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctC007.xml','./msxsdtest/complexType',valid,S180),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes181,S181} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC008.xsd','./msxsdtest/complexType',valid),
+ STResList182 = [STRes181|STResList181],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctC008.xml','./msxsdtest/complexType',valid,S181),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes182,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC009.xsd','./msxsdtest/complexType',invalid),
+ STResList183 = [STRes182|STResList182],
+
+
+ ?line {STRes183,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC010.xsd','./msxsdtest/complexType',invalid),
+ STResList184 = [STRes183|STResList183],
+
+
+ ?line {STRes184,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC011.xsd','./msxsdtest/complexType',invalid),
+ STResList185 = [STRes184|STResList184],
+
+
+ ?line {STRes185,S185} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctC012.xsd','./msxsdtest/complexType',valid),
+ STResList186 = [STRes185|STResList185],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctC012.xml','./msxsdtest/complexType',valid,S185),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes186,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD001.xsd','./msxsdtest/complexType',invalid),
+ STResList187 = [STRes186|STResList186],
+
+
+ ?line {STRes187,S187} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD002.xsd','./msxsdtest/complexType',valid),
+ STResList188 = [STRes187|STResList187],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD002.xml','./msxsdtest/complexType',valid,S187),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes188,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD003.xsd','./msxsdtest/complexType',invalid),
+ STResList189 = [STRes188|STResList188],
+
+
+ ?line {STRes189,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD004.xsd','./msxsdtest/complexType',invalid),
+ STResList190 = [STRes189|STResList189],
+
+
+ ?line {STRes190,S190} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD005.xsd','./msxsdtest/complexType',valid),
+ STResList191 = [STRes190|STResList190],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD005.xml','./msxsdtest/complexType',valid,S190),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes191,S191} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD006.xsd','./msxsdtest/complexType',valid),
+ STResList192 = [STRes191|STResList191],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD006.xml','./msxsdtest/complexType',valid,S191),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes192,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD007.xsd','./msxsdtest/complexType',invalid),
+ STResList193 = [STRes192|STResList192],
+
+
+ ?line {STRes193,S193} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD008.xsd','./msxsdtest/complexType',valid),
+ STResList194 = [STRes193|STResList193],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD008.xml','./msxsdtest/complexType',valid,S193),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes194,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD009.xsd','./msxsdtest/complexType',invalid),
+ STResList195 = [STRes194|STResList194],
+
+
+ ?line {STRes195,S195} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD010.xsd','./msxsdtest/complexType',valid),
+ STResList196 = [STRes195|STResList195],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD010.xml','./msxsdtest/complexType',valid,S195),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes196,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD011.xsd','./msxsdtest/complexType',invalid),
+ STResList197 = [STRes196|STResList196],
+
+
+ ?line {STRes197,S197} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD012.xsd','./msxsdtest/complexType',valid),
+ STResList198 = [STRes197|STResList197],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD012.xml','./msxsdtest/complexType',valid,S197),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes198,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD013.xsd','./msxsdtest/complexType',invalid),
+ STResList199 = [STRes198|STResList198],
+
+
+ ?line {STRes199,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD014.xsd','./msxsdtest/complexType',invalid),
+ STResList200 = [STRes199|STResList199],
+
+
+ ?line {STRes200,S200} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD015.xsd','./msxsdtest/complexType',valid),
+ STResList201 = [STRes200|STResList200],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD015.xml','./msxsdtest/complexType',valid,S200),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes201,S201} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD016.xsd','./msxsdtest/complexType',valid),
+ STResList202 = [STRes201|STResList201],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD016.xml','./msxsdtest/complexType',valid,S201),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes202,S202} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD017.xsd','./msxsdtest/complexType',valid),
+ STResList203 = [STRes202|STResList202],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD017.xml','./msxsdtest/complexType',valid,S202),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes203,S203} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD018.xsd','./msxsdtest/complexType',valid),
+ STResList204 = [STRes203|STResList203],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD018.xml','./msxsdtest/complexType',valid,S203),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes204,S204} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD019.xsd','./msxsdtest/complexType',valid),
+ STResList205 = [STRes204|STResList204],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD019.xml','./msxsdtest/complexType',valid,S204),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes205,S205} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD020.xsd','./msxsdtest/complexType',valid),
+ STResList206 = [STRes205|STResList205],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD020.xml','./msxsdtest/complexType',valid,S205),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes206,S206} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD021.xsd','./msxsdtest/complexType',valid),
+ STResList207 = [STRes206|STResList206],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD021.xml','./msxsdtest/complexType',valid,S206),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes207,S207} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD022.xsd','./msxsdtest/complexType',valid),
+ STResList208 = [STRes207|STResList207],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD022.xml','./msxsdtest/complexType',valid,S207),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes208,S208} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD023.xsd','./msxsdtest/complexType',valid),
+ STResList209 = [STRes208|STResList208],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD023.xml','./msxsdtest/complexType',valid,S208),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes209,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD024.xsd','./msxsdtest/complexType',invalid),
+ STResList210 = [STRes209|STResList209],
+
+
+ ?line {STRes210,S210} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD025.xsd','./msxsdtest/complexType',valid),
+ STResList211 = [STRes210|STResList210],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD025.xml','./msxsdtest/complexType',valid,S210),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes211,S211} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD026.xsd','./msxsdtest/complexType',valid),
+ STResList212 = [STRes211|STResList211],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD026.xml','./msxsdtest/complexType',valid,S211),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes212,S212} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD027.xsd','./msxsdtest/complexType',valid),
+ STResList213 = [STRes212|STResList212],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD027.xml','./msxsdtest/complexType',valid,S212),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes213,S213} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD028.xsd','./msxsdtest/complexType',valid),
+ STResList214 = [STRes213|STResList213],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD028.xml','./msxsdtest/complexType',valid,S213),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes214,S214} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD029.xsd','./msxsdtest/complexType',valid),
+ STResList215 = [STRes214|STResList214],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD029.xml','./msxsdtest/complexType',valid,S214),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes215,S215} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD030.xsd','./msxsdtest/complexType',valid),
+ STResList216 = [STRes215|STResList215],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD030.xml','./msxsdtest/complexType',valid,S215),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes216,S216} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD031.xsd','./msxsdtest/complexType',valid),
+ STResList217 = [STRes216|STResList216],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD031.xml','./msxsdtest/complexType',valid,S216),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes217,S217} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD032.xsd','./msxsdtest/complexType',valid),
+ STResList218 = [STRes217|STResList217],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD032.xml','./msxsdtest/complexType',valid,S217),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes218,S218} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD033.xsd','./msxsdtest/complexType',valid),
+ STResList219 = [STRes218|STResList218],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD033.xml','./msxsdtest/complexType',valid,S218),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes219,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD034.xsd','./msxsdtest/complexType',invalid),
+ STResList220 = [STRes219|STResList219],
+
+
+ ?line {STRes220,S220} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD035.xsd','./msxsdtest/complexType',valid),
+ STResList221 = [STRes220|STResList220],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctD035.xml','./msxsdtest/complexType',valid,S220),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes221,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD036.xsd','./msxsdtest/complexType',invalid),
+ STResList222 = [STRes221|STResList221],
+
+
+ ?line {STRes222,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD037.xsd','./msxsdtest/complexType',invalid),
+ STResList223 = [STRes222|STResList222],
+
+
+ ?line {STRes223,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD038.xsd','./msxsdtest/complexType',invalid),
+ STResList224 = [STRes223|STResList223],
+
+
+ ?line {STRes224,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD039.xsd','./msxsdtest/complexType',invalid),
+ STResList225 = [STRes224|STResList224],
+
+
+ ?line {STRes225,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD040.xsd','./msxsdtest/complexType',invalid),
+ STResList226 = [STRes225|STResList225],
+
+
+ ?line {STRes226,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD041.xsd','./msxsdtest/complexType',invalid),
+ STResList227 = [STRes226|STResList226],
+
+
+ ?line {STRes227,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD042.xsd','./msxsdtest/complexType',invalid),
+ STResList228 = [STRes227|STResList227],
+
+
+ ?line {STRes228,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctD043.xsd','./msxsdtest/complexType',invalid),
+ STResList229 = [STRes228|STResList228],
+
+
+ ?line {STRes229,S229} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE001.xsd','./msxsdtest/complexType',valid),
+ STResList230 = [STRes229|STResList229],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctE001.xml','./msxsdtest/complexType',valid,S229),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes230,S230} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE002.xsd','./msxsdtest/complexType',valid),
+ STResList231 = [STRes230|STResList230],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctE002.xml','./msxsdtest/complexType',valid,S230),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes231,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE003.xsd','./msxsdtest/complexType',invalid),
+ STResList232 = [STRes231|STResList231],
+
+
+ ?line {STRes232,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE004.xsd','./msxsdtest/complexType',invalid),
+ STResList233 = [STRes232|STResList232],
+
+
+ ?line {STRes233,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE005.xsd','./msxsdtest/complexType',invalid),
+ STResList234 = [STRes233|STResList233],
+
+
+ ?line {STRes234,S234} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE006.xsd','./msxsdtest/complexType',valid),
+ STResList235 = [STRes234|STResList234],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctE006.xml','./msxsdtest/complexType',valid,S234),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes235,S235} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE007.xsd','./msxsdtest/complexType',valid),
+ STResList236 = [STRes235|STResList235],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctE007.xml','./msxsdtest/complexType',valid,S235),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes236,S236} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE008.xsd','./msxsdtest/complexType',valid),
+ STResList237 = [STRes236|STResList236],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctE008.xml','./msxsdtest/complexType',valid,S236),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes237,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE009.xsd','./msxsdtest/complexType',invalid),
+ STResList238 = [STRes237|STResList237],
+
+
+ ?line {STRes238,S238} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE010.xsd','./msxsdtest/complexType',valid),
+ STResList239 = [STRes238|STResList238],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctE010.xml','./msxsdtest/complexType',valid,S238),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes239,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE011.xsd','./msxsdtest/complexType',invalid),
+ STResList240 = [STRes239|STResList239],
+
+
+ ?line {STRes240,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE012.xsd','./msxsdtest/complexType',invalid),
+ STResList241 = [STRes240|STResList240],
+
+
+ ?line {STRes241,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE013.xsd','./msxsdtest/complexType',invalid),
+ STResList242 = [STRes241|STResList241],
+
+
+ ?line {STRes242,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE014.xsd','./msxsdtest/complexType',invalid),
+ STResList243 = [STRes242|STResList242],
+
+
+ ?line {STRes243,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE015.xsd','./msxsdtest/complexType',invalid),
+ STResList244 = [STRes243|STResList243],
+
+
+ ?line {STRes244,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE016.xsd','./msxsdtest/complexType',invalid),
+ STResList245 = [STRes244|STResList244],
+
+
+ ?line {STRes245,S245} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctE017.xsd','./msxsdtest/complexType',valid),
+ STResList246 = [STRes245|STResList245],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctE017.xml','./msxsdtest/complexType',valid,S245),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes246,S246} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF001.xsd','./msxsdtest/complexType',valid),
+ STResList247 = [STRes246|STResList246],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctF001.xml','./msxsdtest/complexType',valid,S246),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes247,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF002.xsd','./msxsdtest/complexType',invalid),
+ STResList248 = [STRes247|STResList247],
+
+
+ ?line {STRes248,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF003.xsd','./msxsdtest/complexType',invalid),
+ STResList249 = [STRes248|STResList248],
+
+
+ ?line {STRes249,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF004.xsd','./msxsdtest/complexType',invalid),
+ STResList250 = [STRes249|STResList249],
+
+
+ ?line {STRes250,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF005.xsd','./msxsdtest/complexType',invalid),
+ STResList251 = [STRes250|STResList250],
+
+
+ ?line {STRes251,S251} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF006.xsd','./msxsdtest/complexType',valid),
+ STResList252 = [STRes251|STResList251],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctF006.xml','./msxsdtest/complexType',valid,S251),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes252,S252} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF007.xsd','./msxsdtest/complexType',valid),
+ STResList253 = [STRes252|STResList252],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctF007.xml','./msxsdtest/complexType',valid,S252),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes253,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF008.xsd','./msxsdtest/complexType',invalid),
+ STResList254 = [STRes253|STResList253],
+
+
+ ?line {STRes254,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF009.xsd','./msxsdtest/complexType',invalid),
+ STResList255 = [STRes254|STResList254],
+
+
+ ?line {STRes255,S255} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF010.xsd','./msxsdtest/complexType',valid),
+ STResList256 = [STRes255|STResList255],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctF010.xml','./msxsdtest/complexType',valid,S255),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes256,S256} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF011.xsd','./msxsdtest/complexType',valid),
+ STResList257 = [STRes256|STResList256],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctF011.xml','./msxsdtest/complexType',valid,S256),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes257,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF012.xsd','./msxsdtest/complexType',invalid),
+ STResList258 = [STRes257|STResList257],
+
+
+ ?line {STRes258,S258} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF013.xsd','./msxsdtest/complexType',valid),
+ STResList259 = [STRes258|STResList258],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctF013.xml','./msxsdtest/complexType',valid,S258),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ ?line {STRes259,S259} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF014.xsd','./msxsdtest/complexType',valid),
+ STResList260 = [STRes259|STResList259],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctF014.xml','./msxsdtest/complexType',valid,S259),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes260,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF015.xsd','./msxsdtest/complexType',invalid),
+ STResList261 = [STRes260|STResList260],
+
+
+ ?line {STRes261,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF016.xsd','./msxsdtest/complexType',invalid),
+ STResList262 = [STRes261|STResList261],
+
+
+ ?line {STRes262,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctF017.xsd','./msxsdtest/complexType',invalid),
+ STResList263 = [STRes262|STResList262],
+
+
+ ?line {STRes263,S263} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG001.xsd','./msxsdtest/complexType',valid),
+ STResList264 = [STRes263|STResList263],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG001.xml','./msxsdtest/complexType',valid,S263),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes264,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG002.xsd','./msxsdtest/complexType',invalid),
+ STResList265 = [STRes264|STResList264],
+
+
+ ?line {STRes265,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG003.xsd','./msxsdtest/complexType',invalid),
+ STResList266 = [STRes265|STResList265],
+
+
+ ?line {STRes266,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG004.xsd','./msxsdtest/complexType',invalid),
+ STResList267 = [STRes266|STResList266],
+
+
+ ?line {STRes267,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG005.xsd','./msxsdtest/complexType',invalid),
+ STResList268 = [STRes267|STResList267],
+
+
+ ?line {STRes268,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG006.xsd','./msxsdtest/complexType',invalid),
+ STResList269 = [STRes268|STResList268],
+
+
+ ?line {STRes269,S269} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG007.xsd','./msxsdtest/complexType',valid),
+ STResList270 = [STRes269|STResList269],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG007.xml','./msxsdtest/complexType',valid,S269),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes270,S270} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG008.xsd','./msxsdtest/complexType',valid),
+ STResList271 = [STRes270|STResList270],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG008.xml','./msxsdtest/complexType',valid,S270),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes271,S271} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG009.xsd','./msxsdtest/complexType',valid),
+ STResList272 = [STRes271|STResList271],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG009.xml','./msxsdtest/complexType',valid,S271),
+ ITResList113 = [ITRes112|ITResList112],
+
+
+ ?line {STRes272,S272} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG010.xsd','./msxsdtest/complexType',valid),
+ STResList273 = [STRes272|STResList272],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG010.xml','./msxsdtest/complexType',valid,S272),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes273,S273} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG011.xsd','./msxsdtest/complexType',valid),
+ STResList274 = [STRes273|STResList273],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG011.xml','./msxsdtest/complexType',valid,S273),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes274,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG012.xsd','./msxsdtest/complexType',invalid),
+ STResList275 = [STRes274|STResList274],
+
+
+ ?line {STRes275,S275} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG013.xsd','./msxsdtest/complexType',valid),
+ STResList276 = [STRes275|STResList275],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG013.xml','./msxsdtest/complexType',valid,S275),
+ ITResList116 = [ITRes115|ITResList115],
+
+
+ ?line {STRes276,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG014.xsd','./msxsdtest/complexType',invalid),
+ STResList277 = [STRes276|STResList276],
+
+
+ ?line {STRes277,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG015.xsd','./msxsdtest/complexType',invalid),
+ STResList278 = [STRes277|STResList277],
+
+
+ ?line {STRes278,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG016.xsd','./msxsdtest/complexType',invalid),
+ STResList279 = [STRes278|STResList278],
+
+
+ ?line {STRes279,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG017.xsd','./msxsdtest/complexType',invalid),
+ STResList280 = [STRes279|STResList279],
+
+
+ ?line {STRes280,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG018.xsd','./msxsdtest/complexType',invalid),
+ STResList281 = [STRes280|STResList280],
+
+
+ ?line {STRes281,S281} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG019.xsd','./msxsdtest/complexType',valid),
+ STResList282 = [STRes281|STResList281],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG019.xml','./msxsdtest/complexType',valid,S281),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes282,S282} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG020.xsd','./msxsdtest/complexType',valid),
+ STResList283 = [STRes282|STResList282],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG020.xml','./msxsdtest/complexType',valid,S282),
+ ITResList118 = [ITRes117|ITResList117],
+
+
+ ?line {STRes283,S283} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG021.xsd','./msxsdtest/complexType',valid),
+ STResList284 = [STRes283|STResList283],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG021.xml','./msxsdtest/complexType',valid,S283),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes284,S284} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG022.xsd','./msxsdtest/complexType',valid),
+ STResList285 = [STRes284|STResList284],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG022.xml','./msxsdtest/complexType',valid,S284),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes285,S285} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG023.xsd','./msxsdtest/complexType',valid),
+ STResList286 = [STRes285|STResList285],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG023.xml','./msxsdtest/complexType',valid,S285),
+ ITResList121 = [ITRes120|ITResList120],
+
+
+ ?line {STRes286,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG024.xsd','./msxsdtest/complexType',invalid),
+ STResList287 = [STRes286|STResList286],
+
+
+ ?line {STRes287,S287} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG025.xsd','./msxsdtest/complexType',valid),
+ STResList288 = [STRes287|STResList287],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG025.xml','./msxsdtest/complexType',valid,S287),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes288,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG026.xsd','./msxsdtest/complexType',invalid),
+ STResList289 = [STRes288|STResList288],
+
+
+ ?line {STRes289,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG027.xsd','./msxsdtest/complexType',invalid),
+ STResList290 = [STRes289|STResList289],
+
+
+ ?line {STRes290,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG028.xsd','./msxsdtest/complexType',invalid),
+ STResList291 = [STRes290|STResList290],
+
+
+ ?line {STRes291,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG029.xsd','./msxsdtest/complexType',invalid),
+ STResList292 = [STRes291|STResList291],
+
+
+ ?line {STRes292,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG030.xsd','./msxsdtest/complexType',invalid),
+ STResList293 = [STRes292|STResList292],
+
+
+ ?line {STRes293,S293} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG031.xsd','./msxsdtest/complexType',valid),
+ STResList294 = [STRes293|STResList293],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG031.xml','./msxsdtest/complexType',valid,S293),
+ ITResList123 = [ITRes122|ITResList122],
+
+
+ ?line {STRes294,S294} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG032.xsd','./msxsdtest/complexType',valid),
+ STResList295 = [STRes294|STResList294],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG032.xml','./msxsdtest/complexType',valid,S294),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes295,S295} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG033.xsd','./msxsdtest/complexType',valid),
+ STResList296 = [STRes295|STResList295],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG033.xml','./msxsdtest/complexType',valid,S295),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes296,S296} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG034.xsd','./msxsdtest/complexType',valid),
+ STResList297 = [STRes296|STResList296],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG034.xml','./msxsdtest/complexType',valid,S296),
+ ITResList126 = [ITRes125|ITResList125],
+
+
+ ?line {STRes297,S297} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG035.xsd','./msxsdtest/complexType',valid),
+ STResList298 = [STRes297|STResList297],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG035.xml','./msxsdtest/complexType',valid,S297),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ ?line {STRes298,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG036.xsd','./msxsdtest/complexType',invalid),
+ STResList299 = [STRes298|STResList298],
+
+
+ ?line {STRes299,S299} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG037.xsd','./msxsdtest/complexType',valid),
+ STResList300 = [STRes299|STResList299],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG037.xml','./msxsdtest/complexType',valid,S299),
+ ITResList128 = [ITRes127|ITResList127],
+
+
+ ?line {STRes300,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG038.xsd','./msxsdtest/complexType',invalid),
+ STResList301 = [STRes300|STResList300],
+
+
+ ?line {STRes301,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG039.xsd','./msxsdtest/complexType',invalid),
+ STResList302 = [STRes301|STResList301],
+
+
+ ?line {STRes302,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG040.xsd','./msxsdtest/complexType',invalid),
+ STResList303 = [STRes302|STResList302],
+
+
+ ?line {STRes303,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG041.xsd','./msxsdtest/complexType',invalid),
+ STResList304 = [STRes303|STResList303],
+
+
+ ?line {STRes304,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG042.xsd','./msxsdtest/complexType',invalid),
+ STResList305 = [STRes304|STResList304],
+
+
+ ?line {STRes305,S305} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG043.xsd','./msxsdtest/complexType',valid),
+ STResList306 = [STRes305|STResList305],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG043.xml','./msxsdtest/complexType',valid,S305),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes306,S306} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG044.xsd','./msxsdtest/complexType',valid),
+ STResList307 = [STRes306|STResList306],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG044.xml','./msxsdtest/complexType',valid,S306),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ ?line {STRes307,S307} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG045.xsd','./msxsdtest/complexType',valid),
+ STResList308 = [STRes307|STResList307],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG045.xml','./msxsdtest/complexType',valid,S307),
+ ITResList131 = [ITRes130|ITResList130],
+
+
+ ?line {STRes308,S308} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG046.xsd','./msxsdtest/complexType',valid),
+ STResList309 = [STRes308|STResList308],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG046.xml','./msxsdtest/complexType',valid,S308),
+ ITResList132 = [ITRes131|ITResList131],
+
+
+ ?line {STRes309,S309} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG047.xsd','./msxsdtest/complexType',valid),
+ STResList310 = [STRes309|STResList309],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG047.xml','./msxsdtest/complexType',valid,S309),
+ ITResList133 = [ITRes132|ITResList132],
+
+
+ ?line {STRes310,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG048.xsd','./msxsdtest/complexType',invalid),
+ STResList311 = [STRes310|STResList310],
+
+
+ ?line {STRes311,S311} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG049.xsd','./msxsdtest/complexType',valid),
+ STResList312 = [STRes311|STResList311],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG049.xml','./msxsdtest/complexType',valid,S311),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes312,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG050.xsd','./msxsdtest/complexType',invalid),
+ STResList313 = [STRes312|STResList312],
+
+
+ ?line {STRes313,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG051.xsd','./msxsdtest/complexType',invalid),
+ STResList314 = [STRes313|STResList313],
+
+
+ ?line {STRes314,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG052.xsd','./msxsdtest/complexType',invalid),
+ STResList315 = [STRes314|STResList314],
+
+
+ ?line {STRes315,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG053.xsd','./msxsdtest/complexType',invalid),
+ STResList316 = [STRes315|STResList315],
+
+
+ ?line {STRes316,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG054.xsd','./msxsdtest/complexType',invalid),
+ STResList317 = [STRes316|STResList316],
+
+
+ ?line {STRes317,S317} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG055.xsd','./msxsdtest/complexType',valid),
+ STResList318 = [STRes317|STResList317],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG055.xml','./msxsdtest/complexType',valid,S317),
+ ITResList135 = [ITRes134|ITResList134],
+
+
+ ?line {STRes318,S318} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG056.xsd','./msxsdtest/complexType',valid),
+ STResList319 = [STRes318|STResList318],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG056.xml','./msxsdtest/complexType',valid,S318),
+ ITResList136 = [ITRes135|ITResList135],
+
+
+ ?line {STRes319,S319} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG057.xsd','./msxsdtest/complexType',valid),
+ STResList320 = [STRes319|STResList319],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG057.xml','./msxsdtest/complexType',valid,S319),
+ ITResList137 = [ITRes136|ITResList136],
+
+
+ ?line {STRes320,S320} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG058.xsd','./msxsdtest/complexType',valid),
+ STResList321 = [STRes320|STResList320],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG058.xml','./msxsdtest/complexType',valid,S320),
+ ITResList138 = [ITRes137|ITResList137],
+
+
+ ?line {STRes321,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG059.xsd','./msxsdtest/complexType',invalid),
+ STResList322 = [STRes321|STResList321],
+
+
+ ?line {STRes322,S322} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG060.xsd','./msxsdtest/complexType',valid),
+ STResList323 = [STRes322|STResList322],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG060.xml','./msxsdtest/complexType',valid,S322),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes323,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG061.xsd','./msxsdtest/complexType',invalid),
+ STResList324 = [STRes323|STResList323],
+
+
+ ?line {STRes324,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG062.xsd','./msxsdtest/complexType',invalid),
+ STResList325 = [STRes324|STResList324],
+
+
+ ?line {STRes325,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG063.xsd','./msxsdtest/complexType',invalid),
+ STResList326 = [STRes325|STResList325],
+
+
+ ?line {STRes326,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG064.xsd','./msxsdtest/complexType',invalid),
+ STResList327 = [STRes326|STResList326],
+
+
+ ?line {STRes327,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG065.xsd','./msxsdtest/complexType',invalid),
+ STResList328 = [STRes327|STResList327],
+
+
+ ?line {STRes328,S328} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG066.xsd','./msxsdtest/complexType',valid),
+ STResList329 = [STRes328|STResList328],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG066.xml','./msxsdtest/complexType',valid,S328),
+ ITResList140 = [ITRes139|ITResList139],
+
+
+ ?line {STRes329,S329} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG067.xsd','./msxsdtest/complexType',valid),
+ STResList330 = [STRes329|STResList329],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG067.xml','./msxsdtest/complexType',valid,S329),
+ ITResList141 = [ITRes140|ITResList140],
+
+
+ ?line {STRes330,S330} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG068.xsd','./msxsdtest/complexType',valid),
+ STResList331 = [STRes330|STResList330],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG068.xml','./msxsdtest/complexType',valid,S330),
+ ITResList142 = [ITRes141|ITResList141],
+
+
+ ?line {STRes331,S331} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG069.xsd','./msxsdtest/complexType',valid),
+ STResList332 = [STRes331|STResList331],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG069.xml','./msxsdtest/complexType',valid,S331),
+ ITResList143 = [ITRes142|ITResList142],
+
+
+ ?line {STRes332,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG070.xsd','./msxsdtest/complexType',invalid),
+ STResList333 = [STRes332|STResList332],
+
+
+ ?line {STRes333,S333} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG071.xsd','./msxsdtest/complexType',valid),
+ STResList334 = [STRes333|STResList333],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctG071.xml','./msxsdtest/complexType',valid,S333),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes334,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG072.xsd','./msxsdtest/complexType',invalid),
+ STResList335 = [STRes334|STResList334],
+
+
+ ?line {STRes335,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG073.xsd','./msxsdtest/complexType',invalid),
+ STResList336 = [STRes335|STResList335],
+
+
+ ?line {STRes336,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG074.xsd','./msxsdtest/complexType',invalid),
+ STResList337 = [STRes336|STResList336],
+
+
+ ?line {STRes337,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG075.xsd','./msxsdtest/complexType',invalid),
+ STResList338 = [STRes337|STResList337],
+
+
+ ?line {STRes338,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG076.xsd','./msxsdtest/complexType',invalid),
+ STResList339 = [STRes338|STResList338],
+
+
+ ?line {STRes339,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG077.xsd','./msxsdtest/complexType',invalid),
+ STResList340 = [STRes339|STResList339],
+
+
+ ?line {STRes340,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG078.xsd','./msxsdtest/complexType',invalid),
+ STResList341 = [STRes340|STResList340],
+
+
+ ?line {STRes341,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG079.xsd','./msxsdtest/complexType',invalid),
+ STResList342 = [STRes341|STResList341],
+
+
+ ?line {STRes342,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG080.xsd','./msxsdtest/complexType',invalid),
+ STResList343 = [STRes342|STResList342],
+
+
+ ?line {STRes343,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctG081.xsd','./msxsdtest/complexType',invalid),
+ STResList344 = [STRes343|STResList343],
+
+
+ ?line {STRes344,S344} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH001.xsd','./msxsdtest/complexType',valid),
+ STResList345 = [STRes344|STResList344],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH001.xml','./msxsdtest/complexType',valid,S344),
+ ITResList145 = [ITRes144|ITResList144],
+
+
+ ?line {STRes345,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH002.xsd','./msxsdtest/complexType',invalid),
+ STResList346 = [STRes345|STResList345],
+
+
+ ?line {STRes346,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH003.xsd','./msxsdtest/complexType',invalid),
+ STResList347 = [STRes346|STResList346],
+
+
+ ?line {STRes347,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH004.xsd','./msxsdtest/complexType',invalid),
+ STResList348 = [STRes347|STResList347],
+
+
+ ?line {STRes348,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH005.xsd','./msxsdtest/complexType',invalid),
+ STResList349 = [STRes348|STResList348],
+
+
+ ?line {STRes349,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH006.xsd','./msxsdtest/complexType',invalid),
+ STResList350 = [STRes349|STResList349],
+
+
+ ?line {STRes350,S350} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH007.xsd','./msxsdtest/complexType',valid),
+ STResList351 = [STRes350|STResList350],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH007.xml','./msxsdtest/complexType',valid,S350),
+ ITResList146 = [ITRes145|ITResList145],
+
+
+ ?line {STRes351,S351} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH008.xsd','./msxsdtest/complexType',valid),
+ STResList352 = [STRes351|STResList351],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH008.xml','./msxsdtest/complexType',valid,S351),
+ ITResList147 = [ITRes146|ITResList146],
+
+
+ ?line {STRes352,S352} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH009.xsd','./msxsdtest/complexType',valid),
+ STResList353 = [STRes352|STResList352],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH009.xml','./msxsdtest/complexType',valid,S352),
+ ITResList148 = [ITRes147|ITResList147],
+
+
+ ?line {STRes353,S353} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH010.xsd','./msxsdtest/complexType',valid),
+ STResList354 = [STRes353|STResList353],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH010.xml','./msxsdtest/complexType',valid,S353),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes354,S354} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH011.xsd','./msxsdtest/complexType',valid),
+ STResList355 = [STRes354|STResList354],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH011.xml','./msxsdtest/complexType',valid,S354),
+ ITResList150 = [ITRes149|ITResList149],
+
+
+ ?line {STRes355,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH012.xsd','./msxsdtest/complexType',invalid),
+ STResList356 = [STRes355|STResList355],
+
+
+ ?line {STRes356,S356} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH013.xsd','./msxsdtest/complexType',valid),
+ STResList357 = [STRes356|STResList356],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH013.xml','./msxsdtest/complexType',valid,S356),
+ ITResList151 = [ITRes150|ITResList150],
+
+
+ ?line {STRes357,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH014.xsd','./msxsdtest/complexType',invalid),
+ STResList358 = [STRes357|STResList357],
+
+
+ ?line {STRes358,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH015.xsd','./msxsdtest/complexType',invalid),
+ STResList359 = [STRes358|STResList358],
+
+
+ ?line {STRes359,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH016.xsd','./msxsdtest/complexType',invalid),
+ STResList360 = [STRes359|STResList359],
+
+
+ ?line {STRes360,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH017.xsd','./msxsdtest/complexType',invalid),
+ STResList361 = [STRes360|STResList360],
+
+
+ ?line {STRes361,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH018.xsd','./msxsdtest/complexType',invalid),
+ STResList362 = [STRes361|STResList361],
+
+
+ ?line {STRes362,S362} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH019.xsd','./msxsdtest/complexType',valid),
+ STResList363 = [STRes362|STResList362],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH019.xml','./msxsdtest/complexType',valid,S362),
+ ITResList152 = [ITRes151|ITResList151],
+
+
+ ?line {STRes363,S363} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH020.xsd','./msxsdtest/complexType',valid),
+ STResList364 = [STRes363|STResList363],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH020.xml','./msxsdtest/complexType',valid,S363),
+ ITResList153 = [ITRes152|ITResList152],
+
+
+ ?line {STRes364,S364} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH021.xsd','./msxsdtest/complexType',valid),
+ STResList365 = [STRes364|STResList364],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH021.xml','./msxsdtest/complexType',valid,S364),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes365,S365} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH022.xsd','./msxsdtest/complexType',valid),
+ STResList366 = [STRes365|STResList365],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH022.xml','./msxsdtest/complexType',valid,S365),
+ ITResList155 = [ITRes154|ITResList154],
+
+
+ ?line {STRes366,S366} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH023.xsd','./msxsdtest/complexType',valid),
+ STResList367 = [STRes366|STResList366],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH023.xml','./msxsdtest/complexType',valid,S366),
+ ITResList156 = [ITRes155|ITResList155],
+
+
+ ?line {STRes367,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH024.xsd','./msxsdtest/complexType',invalid),
+ STResList368 = [STRes367|STResList367],
+
+
+ ?line {STRes368,S368} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH025.xsd','./msxsdtest/complexType',valid),
+ STResList369 = [STRes368|STResList368],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH025.xml','./msxsdtest/complexType',valid,S368),
+ ITResList157 = [ITRes156|ITResList156],
+
+
+ ?line {STRes369,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH026.xsd','./msxsdtest/complexType',invalid),
+ STResList370 = [STRes369|STResList369],
+
+
+ ?line {STRes370,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH027.xsd','./msxsdtest/complexType',invalid),
+ STResList371 = [STRes370|STResList370],
+
+
+ ?line {STRes371,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH028.xsd','./msxsdtest/complexType',invalid),
+ STResList372 = [STRes371|STResList371],
+
+
+ ?line {STRes372,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH029.xsd','./msxsdtest/complexType',invalid),
+ STResList373 = [STRes372|STResList372],
+
+
+ ?line {STRes373,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH030.xsd','./msxsdtest/complexType',invalid),
+ STResList374 = [STRes373|STResList373],
+
+
+ ?line {STRes374,S374} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH031.xsd','./msxsdtest/complexType',valid),
+ STResList375 = [STRes374|STResList374],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH031.xml','./msxsdtest/complexType',valid,S374),
+ ITResList158 = [ITRes157|ITResList157],
+
+
+ ?line {STRes375,S375} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH032.xsd','./msxsdtest/complexType',valid),
+ STResList376 = [STRes375|STResList375],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH032.xml','./msxsdtest/complexType',valid,S375),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes376,S376} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH033.xsd','./msxsdtest/complexType',valid),
+ STResList377 = [STRes376|STResList376],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH033.xml','./msxsdtest/complexType',valid,S376),
+ ITResList160 = [ITRes159|ITResList159],
+
+
+ ?line {STRes377,S377} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH034.xsd','./msxsdtest/complexType',valid),
+ STResList378 = [STRes377|STResList377],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH034.xml','./msxsdtest/complexType',valid,S377),
+ ITResList161 = [ITRes160|ITResList160],
+
+
+ ?line {STRes378,S378} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH035.xsd','./msxsdtest/complexType',valid),
+ STResList379 = [STRes378|STResList378],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH035.xml','./msxsdtest/complexType',valid,S378),
+ ITResList162 = [ITRes161|ITResList161],
+
+
+ ?line {STRes379,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH036.xsd','./msxsdtest/complexType',invalid),
+ STResList380 = [STRes379|STResList379],
+
+
+ ?line {STRes380,S380} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH037.xsd','./msxsdtest/complexType',valid),
+ STResList381 = [STRes380|STResList380],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH037.xml','./msxsdtest/complexType',valid,S380),
+ ITResList163 = [ITRes162|ITResList162],
+
+
+ ?line {STRes381,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH038.xsd','./msxsdtest/complexType',invalid),
+ STResList382 = [STRes381|STResList381],
+
+
+ ?line {STRes382,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH039.xsd','./msxsdtest/complexType',invalid),
+ STResList383 = [STRes382|STResList382],
+
+
+ ?line {STRes383,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH040.xsd','./msxsdtest/complexType',invalid),
+ STResList384 = [STRes383|STResList383],
+
+
+ ?line {STRes384,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH041.xsd','./msxsdtest/complexType',invalid),
+ STResList385 = [STRes384|STResList384],
+
+
+ ?line {STRes385,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH042.xsd','./msxsdtest/complexType',invalid),
+ STResList386 = [STRes385|STResList385],
+
+
+ ?line {STRes386,S386} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH043.xsd','./msxsdtest/complexType',valid),
+ STResList387 = [STRes386|STResList386],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH043.xml','./msxsdtest/complexType',valid,S386),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes387,S387} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH044.xsd','./msxsdtest/complexType',valid),
+ STResList388 = [STRes387|STResList387],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH044.xml','./msxsdtest/complexType',valid,S387),
+ ITResList165 = [ITRes164|ITResList164],
+
+
+ ?line {STRes388,S388} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH045.xsd','./msxsdtest/complexType',valid),
+ STResList389 = [STRes388|STResList388],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH045.xml','./msxsdtest/complexType',valid,S388),
+ ITResList166 = [ITRes165|ITResList165],
+
+
+ ?line {STRes389,S389} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH046.xsd','./msxsdtest/complexType',valid),
+ STResList390 = [STRes389|STResList389],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH046.xml','./msxsdtest/complexType',valid,S389),
+ ITResList167 = [ITRes166|ITResList166],
+
+
+ ?line {STRes390,S390} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH047.xsd','./msxsdtest/complexType',valid),
+ STResList391 = [STRes390|STResList390],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH047.xml','./msxsdtest/complexType',valid,S390),
+ ITResList168 = [ITRes167|ITResList167],
+
+
+ ?line {STRes391,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH048.xsd','./msxsdtest/complexType',invalid),
+ STResList392 = [STRes391|STResList391],
+
+
+ ?line {STRes392,S392} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH049.xsd','./msxsdtest/complexType',valid),
+ STResList393 = [STRes392|STResList392],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH049.xml','./msxsdtest/complexType',valid,S392),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ ?line {STRes393,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH050.xsd','./msxsdtest/complexType',invalid),
+ STResList394 = [STRes393|STResList393],
+
+
+ ?line {STRes394,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH051.xsd','./msxsdtest/complexType',invalid),
+ STResList395 = [STRes394|STResList394],
+
+
+ ?line {STRes395,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH052.xsd','./msxsdtest/complexType',invalid),
+ STResList396 = [STRes395|STResList395],
+
+
+ ?line {STRes396,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH053.xsd','./msxsdtest/complexType',invalid),
+ STResList397 = [STRes396|STResList396],
+
+
+ ?line {STRes397,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH054.xsd','./msxsdtest/complexType',invalid),
+ STResList398 = [STRes397|STResList397],
+
+
+ ?line {STRes398,S398} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH055.xsd','./msxsdtest/complexType',valid),
+ STResList399 = [STRes398|STResList398],
+ ?line ITRes169 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH055.xml','./msxsdtest/complexType',valid,S398),
+ ITResList170 = [ITRes169|ITResList169],
+
+
+ ?line {STRes399,S399} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH056.xsd','./msxsdtest/complexType',valid),
+ STResList400 = [STRes399|STResList399],
+ ?line ITRes170 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH056.xml','./msxsdtest/complexType',valid,S399),
+ ITResList171 = [ITRes170|ITResList170],
+
+
+ ?line {STRes400,S400} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH057.xsd','./msxsdtest/complexType',valid),
+ STResList401 = [STRes400|STResList400],
+ ?line ITRes171 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH057.xml','./msxsdtest/complexType',valid,S400),
+ ITResList172 = [ITRes171|ITResList171],
+
+
+ ?line {STRes401,S401} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH058.xsd','./msxsdtest/complexType',valid),
+ STResList402 = [STRes401|STResList401],
+ ?line ITRes172 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH058.xml','./msxsdtest/complexType',valid,S401),
+ ITResList173 = [ITRes172|ITResList172],
+
+
+ ?line {STRes402,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH059.xsd','./msxsdtest/complexType',invalid),
+ STResList403 = [STRes402|STResList402],
+
+
+ ?line {STRes403,S403} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH060.xsd','./msxsdtest/complexType',valid),
+ STResList404 = [STRes403|STResList403],
+ ?line ITRes173 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH060.xml','./msxsdtest/complexType',valid,S403),
+ ITResList174 = [ITRes173|ITResList173],
+
+
+ ?line {STRes404,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH061.xsd','./msxsdtest/complexType',invalid),
+ STResList405 = [STRes404|STResList404],
+
+
+ ?line {STRes405,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH062.xsd','./msxsdtest/complexType',invalid),
+ STResList406 = [STRes405|STResList405],
+
+
+ ?line {STRes406,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH063.xsd','./msxsdtest/complexType',invalid),
+ STResList407 = [STRes406|STResList406],
+
+
+ ?line {STRes407,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH064.xsd','./msxsdtest/complexType',invalid),
+ STResList408 = [STRes407|STResList407],
+
+
+ ?line {STRes408,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH065.xsd','./msxsdtest/complexType',invalid),
+ STResList409 = [STRes408|STResList408],
+
+
+ ?line {STRes409,S409} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH066.xsd','./msxsdtest/complexType',valid),
+ STResList410 = [STRes409|STResList409],
+ ?line ITRes174 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH066.xml','./msxsdtest/complexType',valid,S409),
+ ITResList175 = [ITRes174|ITResList174],
+
+
+ ?line {STRes410,S410} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH067.xsd','./msxsdtest/complexType',valid),
+ STResList411 = [STRes410|STResList410],
+ ?line ITRes175 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH067.xml','./msxsdtest/complexType',valid,S410),
+ ITResList176 = [ITRes175|ITResList175],
+
+
+ ?line {STRes411,S411} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH068.xsd','./msxsdtest/complexType',valid),
+ STResList412 = [STRes411|STResList411],
+ ?line ITRes176 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH068.xml','./msxsdtest/complexType',valid,S411),
+ ITResList177 = [ITRes176|ITResList176],
+
+
+ ?line {STRes412,S412} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH069.xsd','./msxsdtest/complexType',valid),
+ STResList413 = [STRes412|STResList412],
+ ?line ITRes177 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH069.xml','./msxsdtest/complexType',valid,S412),
+ ITResList178 = [ITRes177|ITResList177],
+
+
+ ?line {STRes413,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH070.xsd','./msxsdtest/complexType',invalid),
+ STResList414 = [STRes413|STResList413],
+
+
+ ?line {STRes414,S414} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH071.xsd','./msxsdtest/complexType',valid),
+ STResList415 = [STRes414|STResList414],
+ ?line ITRes178 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH071.xml','./msxsdtest/complexType',valid,S414),
+ ITResList179 = [ITRes178|ITResList178],
+
+
+ ?line {STRes415,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH072.xsd','./msxsdtest/complexType',invalid),
+ STResList416 = [STRes415|STResList415],
+
+
+ ?line {STRes416,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH073.xsd','./msxsdtest/complexType',invalid),
+ STResList417 = [STRes416|STResList416],
+
+
+ ?line {STRes417,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH074.xsd','./msxsdtest/complexType',invalid),
+ STResList418 = [STRes417|STResList417],
+
+
+ ?line {STRes418,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH075.xsd','./msxsdtest/complexType',invalid),
+ STResList419 = [STRes418|STResList418],
+
+
+ ?line {STRes419,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH076.xsd','./msxsdtest/complexType',invalid),
+ STResList420 = [STRes419|STResList419],
+
+
+ ?line {STRes420,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH077.xsd','./msxsdtest/complexType',invalid),
+ STResList421 = [STRes420|STResList420],
+
+
+ ?line {STRes421,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH078.xsd','./msxsdtest/complexType',invalid),
+ STResList422 = [STRes421|STResList421],
+
+
+ ?line {STRes422,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH079.xsd','./msxsdtest/complexType',invalid),
+ STResList423 = [STRes422|STResList422],
+
+
+ ?line {STRes423,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH080.xsd','./msxsdtest/complexType',invalid),
+ STResList424 = [STRes423|STResList423],
+
+
+ ?line {STRes424,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH081.xsd','./msxsdtest/complexType',invalid),
+ STResList425 = [STRes424|STResList424],
+
+
+ ?line {STRes425,S425} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctH082.xsd','./msxsdtest/complexType',valid),
+ STResList426 = [STRes425|STResList425],
+ ?line ITRes179 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctH082.xml','./msxsdtest/complexType',valid,S425),
+ ITResList180 = [ITRes179|ITResList179],
+
+
+ ?line {STRes426,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI001.xsd','./msxsdtest/complexType',invalid),
+ STResList427 = [STRes426|STResList426],
+
+
+ ?line {STRes427,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI002.xsd','./msxsdtest/complexType',invalid),
+ STResList428 = [STRes427|STResList427],
+
+
+ ?line {STRes428,S428} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI003.xsd','./msxsdtest/complexType',valid),
+ STResList429 = [STRes428|STResList428],
+ ?line ITRes180 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI003.xml','./msxsdtest/complexType',valid,S428),
+ ITResList181 = [ITRes180|ITResList180],
+
+
+ ?line {STRes429,S429} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI004.xsd','./msxsdtest/complexType',valid),
+ STResList430 = [STRes429|STResList429],
+ ?line ITRes181 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI004.xml','./msxsdtest/complexType',valid,S429),
+ ITResList182 = [ITRes181|ITResList181],
+
+
+ ?line {STRes430,S430} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI005.xsd','./msxsdtest/complexType',valid),
+ STResList431 = [STRes430|STResList430],
+ ?line ITRes182 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI005.xml','./msxsdtest/complexType',valid,S430),
+ ITResList183 = [ITRes182|ITResList182],
+
+
+ ?line {STRes431,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI006.xsd','./msxsdtest/complexType',invalid),
+ STResList432 = [STRes431|STResList431],
+
+
+ ?line {STRes432,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI007.xsd','./msxsdtest/complexType',invalid),
+ STResList433 = [STRes432|STResList432],
+
+
+ ?line {STRes433,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI008.xsd','./msxsdtest/complexType',invalid),
+ STResList434 = [STRes433|STResList433],
+
+
+ ?line {STRes434,S434} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI009.xsd','./msxsdtest/complexType',valid),
+ STResList435 = [STRes434|STResList434],
+ ?line ITRes183 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI009.xml','./msxsdtest/complexType',valid,S434),
+ ITResList184 = [ITRes183|ITResList183],
+
+
+ ?line {STRes435,S435} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI010.xsd','./msxsdtest/complexType',valid),
+ STResList436 = [STRes435|STResList435],
+ ?line ITRes184 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI010.xml','./msxsdtest/complexType',valid,S435),
+ ITResList185 = [ITRes184|ITResList184],
+
+
+ ?line {STRes436,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI011.xsd','./msxsdtest/complexType',invalid),
+ STResList437 = [STRes436|STResList436],
+
+
+ ?line {STRes437,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI012.xsd','./msxsdtest/complexType',invalid),
+ STResList438 = [STRes437|STResList437],
+
+
+ ?line {STRes438,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI013.xsd','./msxsdtest/complexType',invalid),
+ STResList439 = [STRes438|STResList438],
+
+
+ ?line {STRes439,S439} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI014.xsd','./msxsdtest/complexType',valid),
+ STResList440 = [STRes439|STResList439],
+ ?line ITRes185 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI014.xml','./msxsdtest/complexType',valid,S439),
+ ITResList186 = [ITRes185|ITResList185],
+
+
+ ?line {STRes440,S440} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI015.xsd','./msxsdtest/complexType',valid),
+ STResList441 = [STRes440|STResList440],
+ ?line ITRes186 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI015.xml','./msxsdtest/complexType',valid,S440),
+ ITResList187 = [ITRes186|ITResList186],
+
+
+ ?line {STRes441,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI016.xsd','./msxsdtest/complexType',invalid),
+ STResList442 = [STRes441|STResList441],
+
+
+ ?line {STRes442,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI017.xsd','./msxsdtest/complexType',invalid),
+ STResList443 = [STRes442|STResList442],
+
+
+ ?line {STRes443,S443} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI018.xsd','./msxsdtest/complexType',valid),
+ STResList444 = [STRes443|STResList443],
+ ?line ITRes187 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI018.xml','./msxsdtest/complexType',valid,S443),
+ ITResList188 = [ITRes187|ITResList187],
+
+
+ ?line {STRes444,S444} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI019.xsd','./msxsdtest/complexType',valid),
+ STResList445 = [STRes444|STResList444],
+ ?line ITRes188 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI019.xml','./msxsdtest/complexType',valid,S444),
+ ITResList189 = [ITRes188|ITResList188],
+
+
+ ?line {STRes445,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI020.xsd','./msxsdtest/complexType',invalid),
+ STResList446 = [STRes445|STResList445],
+
+
+ ?line {STRes446,S446} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI021.xsd','./msxsdtest/complexType',valid),
+ STResList447 = [STRes446|STResList446],
+ ?line ITRes189 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI021.xml','./msxsdtest/complexType',valid,S446),
+ ITResList190 = [ITRes189|ITResList189],
+
+
+ ?line {STRes447,S447} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI022.xsd','./msxsdtest/complexType',valid),
+ STResList448 = [STRes447|STResList447],
+ ?line ITRes190 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI022.xml','./msxsdtest/complexType',valid,S447),
+ ITResList191 = [ITRes190|ITResList190],
+
+
+ ?line {STRes448,S448} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI023.xsd','./msxsdtest/complexType',valid),
+ STResList449 = [STRes448|STResList448],
+ ?line ITRes191 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI023.xml','./msxsdtest/complexType',valid,S448),
+ ITResList192 = [ITRes191|ITResList191],
+
+
+ ?line {STRes449,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI024.xsd','./msxsdtest/complexType',invalid),
+ STResList450 = [STRes449|STResList449],
+
+
+ ?line {STRes450,S450} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI025.xsd','./msxsdtest/complexType',valid),
+ STResList451 = [STRes450|STResList450],
+ ?line ITRes192 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI025.xml','./msxsdtest/complexType',valid,S450),
+ ITResList193 = [ITRes192|ITResList192],
+
+
+ ?line {STRes451,S451} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI026.xsd','./msxsdtest/complexType',valid),
+ STResList452 = [STRes451|STResList451],
+ ?line ITRes193 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI026.xml','./msxsdtest/complexType',valid,S451),
+ ITResList194 = [ITRes193|ITResList193],
+
+
+ ?line {STRes452,S452} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI027.xsd','./msxsdtest/complexType',valid),
+ STResList453 = [STRes452|STResList452],
+ ?line ITRes194 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI027.xml','./msxsdtest/complexType',valid,S452),
+ ITResList195 = [ITRes194|ITResList194],
+
+
+ ?line {STRes453,S453} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI028.xsd','./msxsdtest/complexType',valid),
+ STResList454 = [STRes453|STResList453],
+ ?line ITRes195 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI028.xml','./msxsdtest/complexType',valid,S453),
+ ITResList196 = [ITRes195|ITResList195],
+
+
+ ?line {STRes454,S454} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI029.xsd','./msxsdtest/complexType',valid),
+ STResList455 = [STRes454|STResList454],
+ ?line ITRes196 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI029.xml','./msxsdtest/complexType',valid,S454),
+ ITResList197 = [ITRes196|ITResList196],
+
+
+ ?line {STRes455,S455} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI030.xsd','./msxsdtest/complexType',valid),
+ STResList456 = [STRes455|STResList455],
+ ?line ITRes197 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI030.xml','./msxsdtest/complexType',invalid,S455),
+ ITResList198 = [ITRes197|ITResList197],
+
+
+ ?line {STRes456,S456} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI031.xsd','./msxsdtest/complexType',valid),
+ STResList457 = [STRes456|STResList456],
+ ?line ITRes198 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI031.xml','./msxsdtest/complexType',invalid,S456),
+ ITResList199 = [ITRes198|ITResList198],
+
+
+ ?line {STRes457,S457} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI032.xsd','./msxsdtest/complexType',valid),
+ STResList458 = [STRes457|STResList457],
+ ?line ITRes199 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI032.xml','./msxsdtest/complexType',invalid,S457),
+ ITResList200 = [ITRes199|ITResList199],
+
+
+ ?line {STRes458,S458} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI033.xsd','./msxsdtest/complexType',valid),
+ STResList459 = [STRes458|STResList458],
+ ?line ITRes200 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI033.xml','./msxsdtest/complexType',valid,S458),
+ ITResList201 = [ITRes200|ITResList200],
+
+
+ ?line {STRes459,S459} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI034.xsd','./msxsdtest/complexType',valid),
+ STResList460 = [STRes459|STResList459],
+ ?line ITRes201 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI034.xml','./msxsdtest/complexType',valid,S459),
+ ITResList202 = [ITRes201|ITResList201],
+
+
+ ?line {STRes460,S460} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI035.xsd','./msxsdtest/complexType',valid),
+ STResList461 = [STRes460|STResList460],
+ ?line ITRes202 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI035.xml','./msxsdtest/complexType',invalid,S460),
+ ITResList203 = [ITRes202|ITResList202],
+
+
+ ?line {STRes461,S461} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI036.xsd','./msxsdtest/complexType',valid),
+ STResList462 = [STRes461|STResList461],
+ ?line ITRes203 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI036.xml','./msxsdtest/complexType',valid,S461),
+ ITResList204 = [ITRes203|ITResList203],
+
+
+ ?line {STRes462,S462} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI037.xsd','./msxsdtest/complexType',valid),
+ STResList463 = [STRes462|STResList462],
+ ?line ITRes204 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI037.xml','./msxsdtest/complexType',valid,S462),
+ ITResList205 = [ITRes204|ITResList204],
+
+
+ ?line {STRes463,S463} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI038.xsd','./msxsdtest/complexType',valid),
+ STResList464 = [STRes463|STResList463],
+ ?line ITRes205 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI038.xml','./msxsdtest/complexType',invalid,S463),
+ ITResList206 = [ITRes205|ITResList205],
+
+
+ ?line {STRes464,S464} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI039.xsd','./msxsdtest/complexType',valid),
+ STResList465 = [STRes464|STResList464],
+ ?line ITRes206 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI039.xml','./msxsdtest/complexType',invalid,S464),
+ ITResList207 = [ITRes206|ITResList206],
+
+
+ ?line {STRes465,S465} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI040.xsd','./msxsdtest/complexType',valid),
+ STResList466 = [STRes465|STResList465],
+ ?line ITRes207 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI040.xml','./msxsdtest/complexType',valid,S465),
+ ITResList208 = [ITRes207|ITResList207],
+
+
+ ?line {STRes466,S466} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI041.xsd','./msxsdtest/complexType',valid),
+ STResList467 = [STRes466|STResList466],
+ ?line ITRes208 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI041.xml','./msxsdtest/complexType',valid,S466),
+ ITResList209 = [ITRes208|ITResList208],
+
+
+ ?line {STRes467,S467} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI042.xsd','./msxsdtest/complexType',valid),
+ STResList468 = [STRes467|STResList467],
+ ?line ITRes209 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI042.xml','./msxsdtest/complexType',invalid,S467),
+ ITResList210 = [ITRes209|ITResList209],
+
+
+ ?line {STRes468,S468} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI043.xsd','./msxsdtest/complexType',valid),
+ STResList469 = [STRes468|STResList468],
+ ?line ITRes210 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI043.xml','./msxsdtest/complexType',valid,S468),
+ ITResList211 = [ITRes210|ITResList210],
+
+
+ ?line {STRes469,S469} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI044.xsd','./msxsdtest/complexType',valid),
+ STResList470 = [STRes469|STResList469],
+ ?line ITRes211 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI044.xml','./msxsdtest/complexType',valid,S469),
+ ITResList212 = [ITRes211|ITResList211],
+
+
+ ?line {STRes470,S470} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI045.xsd','./msxsdtest/complexType',valid),
+ STResList471 = [STRes470|STResList470],
+ ?line ITRes212 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI045.xml','./msxsdtest/complexType',invalid,S470),
+ ITResList213 = [ITRes212|ITResList212],
+
+
+ ?line {STRes471,S471} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI046.xsd','./msxsdtest/complexType',valid),
+ STResList472 = [STRes471|STResList471],
+ ?line ITRes213 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI046.xml','./msxsdtest/complexType',valid,S471),
+ ITResList214 = [ITRes213|ITResList213],
+
+
+ ?line {STRes472,S472} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI047.xsd','./msxsdtest/complexType',valid),
+ STResList473 = [STRes472|STResList472],
+ ?line ITRes214 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI047.xml','./msxsdtest/complexType',valid,S472),
+ ITResList215 = [ITRes214|ITResList214],
+
+
+ ?line {STRes473,S473} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI048.xsd','./msxsdtest/complexType',valid),
+ STResList474 = [STRes473|STResList473],
+ ?line ITRes215 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI048.xml','./msxsdtest/complexType',invalid,S473),
+ ITResList216 = [ITRes215|ITResList215],
+
+
+ ?line {STRes474,S474} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI049.xsd','./msxsdtest/complexType',valid),
+ STResList475 = [STRes474|STResList474],
+ ?line ITRes216 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI049.xml','./msxsdtest/complexType',invalid,S474),
+ ITResList217 = [ITRes216|ITResList216],
+
+
+ ?line {STRes475,S475} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctI050.xsd','./msxsdtest/complexType',valid),
+ STResList476 = [STRes475|STResList475],
+ ?line ITRes217 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctI050.xml','./msxsdtest/complexType',valid,S475),
+ ITResList218 = [ITRes217|ITResList217],
+
+
+ ?line {STRes476,S476} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctJ001.xsd','./msxsdtest/complexType',valid),
+ STResList477 = [STRes476|STResList476],
+ ?line ITRes218 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctJ001.xml','./msxsdtest/complexType',valid,S476),
+ ITResList219 = [ITRes218|ITResList218],
+
+
+ ?line {STRes477,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctJ002.xsd','./msxsdtest/complexType',invalid),
+ STResList478 = [STRes477|STResList477],
+
+
+ ?line {STRes478,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctJ003.xsd','./msxsdtest/complexType',invalid),
+ STResList479 = [STRes478|STResList478],
+
+
+ ?line {STRes479,S479} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctK001.xsd','./msxsdtest/complexType',valid),
+ STResList480 = [STRes479|STResList479],
+ ?line ITRes219 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctK001.xml','./msxsdtest/complexType',valid,S479),
+ ITResList220 = [ITRes219|ITResList219],
+
+
+ ?line {STRes480,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctK002.xsd','./msxsdtest/complexType',invalid),
+ STResList481 = [STRes480|STResList480],
+
+
+ ?line {STRes481,S481} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL001.xsd','./msxsdtest/complexType',valid),
+ STResList482 = [STRes481|STResList481],
+ ?line ITRes220 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL001.xml','./msxsdtest/complexType',invalid,S481),
+ ITResList221 = [ITRes220|ITResList220],
+
+
+ ?line {STRes482,S482} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL002.xsd','./msxsdtest/complexType',valid),
+ STResList483 = [STRes482|STResList482],
+ ?line ITRes221 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL002.xml','./msxsdtest/complexType',invalid,S482),
+ ITResList222 = [ITRes221|ITResList221],
+
+
+ ?line {STRes483,S483} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL003.xsd','./msxsdtest/complexType',valid),
+ STResList484 = [STRes483|STResList483],
+ ?line ITRes222 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL003.xml','./msxsdtest/complexType',valid,S483),
+ ITResList223 = [ITRes222|ITResList222],
+
+
+ ?line {STRes484,S484} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL004.xsd','./msxsdtest/complexType',valid),
+ STResList485 = [STRes484|STResList484],
+ ?line ITRes223 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL004.xml','./msxsdtest/complexType',invalid,S484),
+ ITResList224 = [ITRes223|ITResList223],
+
+
+ ?line {STRes485,S485} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL005.xsd','./msxsdtest/complexType',valid),
+ STResList486 = [STRes485|STResList485],
+ ?line ITRes224 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL005.xml','./msxsdtest/complexType',valid,S485),
+ ITResList225 = [ITRes224|ITResList224],
+
+
+ ?line {STRes486,S486} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL006.xsd','./msxsdtest/complexType',valid),
+ STResList487 = [STRes486|STResList486],
+ ?line ITRes225 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL006.xml','./msxsdtest/complexType',invalid,S486),
+ ITResList226 = [ITRes225|ITResList225],
+
+
+ ?line {STRes487,S487} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL007.xsd','./msxsdtest/complexType',valid),
+ STResList488 = [STRes487|STResList487],
+ ?line ITRes226 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL007.xml','./msxsdtest/complexType',valid,S487),
+ ITResList227 = [ITRes226|ITResList226],
+
+
+ ?line {STRes488,S488} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL008.xsd','./msxsdtest/complexType',valid),
+ STResList489 = [STRes488|STResList488],
+ ?line ITRes227 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL008.xml','./msxsdtest/complexType',valid,S488),
+ ITResList228 = [ITRes227|ITResList227],
+
+
+ ?line {STRes489,S489} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL009.xsd','./msxsdtest/complexType',valid),
+ STResList490 = [STRes489|STResList489],
+ ?line ITRes228 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL009.xml','./msxsdtest/complexType',invalid,S489),
+ ITResList229 = [ITRes228|ITResList228],
+
+
+ ?line {STRes490,S490} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL010.xsd','./msxsdtest/complexType',valid),
+ STResList491 = [STRes490|STResList490],
+ ?line ITRes229 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL010.xml','./msxsdtest/complexType',invalid,S490),
+ ITResList230 = [ITRes229|ITResList229],
+
+
+ ?line {STRes491,S491} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL011.xsd','./msxsdtest/complexType',valid),
+ STResList492 = [STRes491|STResList491],
+ ?line ITRes230 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL011.xml','./msxsdtest/complexType',valid,S491),
+ ITResList231 = [ITRes230|ITResList230],
+
+
+ ?line {STRes492,S492} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL012.xsd','./msxsdtest/complexType',valid),
+ STResList493 = [STRes492|STResList492],
+ ?line ITRes231 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL012.xml','./msxsdtest/complexType',invalid,S492),
+ ITResList232 = [ITRes231|ITResList231],
+
+
+ ?line {STRes493,S493} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL013.xsd','./msxsdtest/complexType',valid),
+ STResList494 = [STRes493|STResList493],
+ ?line ITRes232 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL013.xml','./msxsdtest/complexType',invalid,S493),
+ ITResList233 = [ITRes232|ITResList232],
+
+
+ ?line {STRes494,S494} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL014.xsd','./msxsdtest/complexType',valid),
+ STResList495 = [STRes494|STResList494],
+ ?line ITRes233 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL014.xml','./msxsdtest/complexType',valid,S494),
+ ITResList234 = [ITRes233|ITResList233],
+
+
+ ?line {STRes495,S495} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL015.xsd','./msxsdtest/complexType',valid),
+ STResList496 = [STRes495|STResList495],
+ ?line ITRes234 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL015.xml','./msxsdtest/complexType',valid,S495),
+ ITResList235 = [ITRes234|ITResList234],
+
+
+ ?line {STRes496,S496} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL016.xsd','./msxsdtest/complexType',valid),
+ STResList497 = [STRes496|STResList496],
+ ?line ITRes235 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL016.xml','./msxsdtest/complexType',valid,S496),
+ ITResList236 = [ITRes235|ITResList235],
+
+
+ ?line {STRes497,S497} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL017.xsd','./msxsdtest/complexType',valid),
+ STResList498 = [STRes497|STResList497],
+ ?line ITRes236 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL017.xml','./msxsdtest/complexType',valid,S497),
+ ITResList237 = [ITRes236|ITResList236],
+
+
+ ?line {STRes498,S498} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL018.xsd','./msxsdtest/complexType',valid),
+ STResList499 = [STRes498|STResList498],
+ ?line ITRes237 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL018.xml','./msxsdtest/complexType',valid,S498),
+ ITResList238 = [ITRes237|ITResList237],
+
+
+ ?line {STRes499,S499} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL019.xsd','./msxsdtest/complexType',valid),
+ STResList500 = [STRes499|STResList499],
+ ?line ITRes238 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL019.xml','./msxsdtest/complexType',valid,S499),
+ ITResList239 = [ITRes238|ITResList238],
+
+
+ ?line {STRes500,S500} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL020.xsd','./msxsdtest/complexType',valid),
+ STResList501 = [STRes500|STResList500],
+ ?line ITRes239 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL020.xml','./msxsdtest/complexType',invalid,S500),
+ ITResList240 = [ITRes239|ITResList239],
+
+
+ ?line {STRes501,S501} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctL021.xsd','./msxsdtest/complexType',valid),
+ STResList502 = [STRes501|STResList501],
+ ?line ITRes240 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctL021.xml','./msxsdtest/complexType',valid,S501),
+ ITResList241 = [ITRes240|ITResList240],
+
+
+ ?line {STRes502,S502} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/bug67200.xsd','./msxsdtest/complexType',valid),
+ STResList503 = [STRes502|STResList502],
+ ?line ITRes241 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/bug67200.xml','./msxsdtest/complexType',valid,S502),
+ ITResList242 = [ITRes241|ITResList241],
+
+
+ ?line {STRes503,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctM001.xsd','./msxsdtest/complexType',invalid),
+ STResList504 = [STRes503|STResList503],
+
+
+ ?line {STRes504,S504} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctM002.xsd','./msxsdtest/complexType',valid),
+ STResList505 = [STRes504|STResList504],
+ ?line ITRes242 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctM002.xml','./msxsdtest/complexType',valid,S504),
+ ITResList243 = [ITRes242|ITResList242],
+
+
+ ?line {STRes505,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctM003.xsd','./msxsdtest/complexType',invalid),
+ STResList506 = [STRes505|STResList505],
+
+
+ ?line {STRes506,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctM004.xsd','./msxsdtest/complexType',invalid),
+ STResList507 = [STRes506|STResList506],
+
+
+ ?line {STRes507,S507} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctN001.xsd','./msxsdtest/complexType',valid),
+ STResList508 = [STRes507|STResList507],
+ ?line ITRes243 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctN001.xml','./msxsdtest/complexType',valid,S507),
+ ITResList244 = [ITRes243|ITResList243],
+
+
+ ?line {STRes508,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctN002.xsd','./msxsdtest/complexType',invalid),
+ STResList509 = [STRes508|STResList508],
+
+
+ ?line {STRes509,S509} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctN003.xsd','./msxsdtest/complexType',valid),
+ STResList510 = [STRes509|STResList509],
+ ?line ITRes244 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctN003.xml','./msxsdtest/complexType',valid,S509),
+ ITResList245 = [ITRes244|ITResList244],
+
+
+ ?line {STRes510,S510} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctN004.xsd','./msxsdtest/complexType',valid),
+ STResList511 = [STRes510|STResList510],
+ ?line ITRes245 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctN004.xml','./msxsdtest/complexType',valid,S510),
+ ITResList246 = [ITRes245|ITResList245],
+
+
+ ?line {STRes511,S511} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctO001.xsd','./msxsdtest/complexType',valid),
+ STResList512 = [STRes511|STResList511],
+ ?line ITRes246 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctO001.xml','./msxsdtest/complexType',valid,S511),
+ ITResList247 = [ITRes246|ITResList246],
+
+
+ ?line {STRes512,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctO002.xsd','./msxsdtest/complexType',invalid),
+ STResList513 = [STRes512|STResList512],
+
+
+ ?line {STRes513,S513} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctO003.xsd','./msxsdtest/complexType',valid),
+ STResList514 = [STRes513|STResList513],
+ ?line ITRes247 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctO003.xml','./msxsdtest/complexType',valid,S513),
+ ITResList248 = [ITRes247|ITResList247],
+
+
+ ?line {STRes514,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctO004.xsd','./msxsdtest/complexType',invalid),
+ STResList515 = [STRes514|STResList514],
+
+
+ ?line {STRes515,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctO005.xsd','./msxsdtest/complexType',invalid),
+ STResList516 = [STRes515|STResList515],
+
+
+ ?line {STRes516,S516} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctO006.xsd','./msxsdtest/complexType',valid),
+ STResList517 = [STRes516|STResList516],
+ ?line ITRes248 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/complexType/ctO006.xml','./msxsdtest/complexType',valid,S516),
+ ITResList249 = [ITRes248|ITResList248],
+
+
+ ?line {STRes517,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/complexType/ctO007.xsd','./msxsdtest/complexType',invalid),
+ STResList518 = [STRes517|STResList517],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList518,ITResList249).
+
+%% 3.3.2 XML Representation of Element Declaration.
+%% 3.3.4 Element Declaration Validation Rules.
+%% element Validation checking.
+%% Regular Expression Validation checking.
+%% Bug Regressions Specs section: 3.3.4
+
+elem(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA001.xsd','./msxsdtest/element',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA002.xsd','./msxsdtest/element',valid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA003.xsd','./msxsdtest/element',valid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA004.xsd','./msxsdtest/element',valid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA005.xsd','./msxsdtest/element',valid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA006.xsd','./msxsdtest/element',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA007.xsd','./msxsdtest/element',valid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA009.xsd','./msxsdtest/element',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA010.xsd','./msxsdtest/element',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA011.xsd','./msxsdtest/element',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA012.xsd','./msxsdtest/element',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA013.xsd','./msxsdtest/element',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA014.xsd','./msxsdtest/element',invalid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA015.xsd','./msxsdtest/element',valid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA016.xsd','./msxsdtest/element',valid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemA017.xsd','./msxsdtest/element',valid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB001.xsd','./msxsdtest/element',valid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB002.xsd','./msxsdtest/element',valid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB003.xsd','./msxsdtest/element',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB004.xsd','./msxsdtest/element',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB005.xsd','./msxsdtest/element',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB006.xsd','./msxsdtest/element',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB007.xsd','./msxsdtest/element',valid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB008.xsd','./msxsdtest/element',valid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB009.xsd','./msxsdtest/element',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemB010.xsd','./msxsdtest/element',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC001.xsd','./msxsdtest/element',valid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC002.xsd','./msxsdtest/element',valid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC003.xsd','./msxsdtest/element',valid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC004.xsd','./msxsdtest/element',valid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC005.xsd','./msxsdtest/element',valid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC006.xsd','./msxsdtest/element',valid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC007.xsd','./msxsdtest/element',valid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC008.xsd','./msxsdtest/element',valid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC009.xsd','./msxsdtest/element',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC010.xsd','./msxsdtest/element',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC011.xsd','./msxsdtest/element',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC012.xsd','./msxsdtest/element',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC013.xsd','./msxsdtest/element',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC014.xsd','./msxsdtest/element',invalid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC015.xsd','./msxsdtest/element',invalid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC016.xsd','./msxsdtest/element',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC017.xsd','./msxsdtest/element',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC018.xsd','./msxsdtest/element',valid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemC020.xsd','./msxsdtest/element',valid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemD001.xsd','./msxsdtest/element',valid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemD002.xsd','./msxsdtest/element',valid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemD003.xsd','./msxsdtest/element',invalid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemD004.xsd','./msxsdtest/element',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemD005.xsd','./msxsdtest/element',invalid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemD006.xsd','./msxsdtest/element',valid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE001.xsd','./msxsdtest/element',valid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE002.xsd','./msxsdtest/element',valid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE003.xsd','./msxsdtest/element',valid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE004.xsd','./msxsdtest/element',valid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE005.xsd','./msxsdtest/element',valid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE006.xsd','./msxsdtest/element',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE007.xsd','./msxsdtest/element',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE008.xsd','./msxsdtest/element',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemE009.xsd','./msxsdtest/element',invalid),
+ STResList60 = [STRes59|STResList59],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF001.xsd','./msxsdtest/element',valid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF002.xsd','./msxsdtest/element',valid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF003.xsd','./msxsdtest/element',valid),
+ STResList63 = [STRes62|STResList62],
+
+
+ ?line {STRes63,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF004.xsd','./msxsdtest/element',invalid),
+ STResList64 = [STRes63|STResList63],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF005.xsd','./msxsdtest/element',valid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF006.xsd','./msxsdtest/element',invalid),
+ STResList66 = [STRes65|STResList65],
+
+
+ ?line {STRes66,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF007.xsd','./msxsdtest/element',invalid),
+ STResList67 = [STRes66|STResList66],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF008.xsd','./msxsdtest/element',invalid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF009.xsd','./msxsdtest/element',invalid),
+ STResList69 = [STRes68|STResList68],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF010.xsd','./msxsdtest/element',invalid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF011.xsd','./msxsdtest/element',invalid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF012.xsd','./msxsdtest/element',invalid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF013.xsd','./msxsdtest/element',invalid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF014.xsd','./msxsdtest/element',invalid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF015.xsd','./msxsdtest/element',invalid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF016.xsd','./msxsdtest/element',invalid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF017.xsd','./msxsdtest/element',invalid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemF018.xsd','./msxsdtest/element',valid),
+ STResList78 = [STRes77|STResList77],
+
+
+ ?line {STRes78,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemG001.xsd','./msxsdtest/element',valid),
+ STResList79 = [STRes78|STResList78],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemG002.xsd','./msxsdtest/element',valid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemG003.xsd','./msxsdtest/element',invalid),
+ STResList81 = [STRes80|STResList80],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemG004.xsd','./msxsdtest/element',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemG005.xsd','./msxsdtest/element',valid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemH001.xsd','./msxsdtest/element',valid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemH002.xsd','./msxsdtest/element',valid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemH003.xsd','./msxsdtest/element',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemH004.xsd','./msxsdtest/element',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ ?line {STRes87,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemH005.xsd','./msxsdtest/element',invalid),
+ STResList88 = [STRes87|STResList87],
+
+
+ ?line {STRes88,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemH006.xsd','./msxsdtest/element',invalid),
+ STResList89 = [STRes88|STResList88],
+
+
+ ?line {STRes89,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemI001.xsd','./msxsdtest/element',valid),
+ STResList90 = [STRes89|STResList89],
+
+
+ ?line {STRes90,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemI002.xsd','./msxsdtest/element',valid),
+ STResList91 = [STRes90|STResList90],
+
+
+ ?line {STRes91,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemI003.xsd','./msxsdtest/element',invalid),
+ STResList92 = [STRes91|STResList91],
+
+
+ ?line {STRes92,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemI004.xsd','./msxsdtest/element',invalid),
+ STResList93 = [STRes92|STResList92],
+
+
+ ?line {STRes93,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemI005.xsd','./msxsdtest/element',invalid),
+ STResList94 = [STRes93|STResList93],
+
+
+ ?line {STRes94,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ001.xsd','./msxsdtest/element',valid),
+ STResList95 = [STRes94|STResList94],
+
+
+ ?line {STRes95,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ002.xsd','./msxsdtest/element',valid),
+ STResList96 = [STRes95|STResList95],
+
+
+ ?line {STRes96,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ003.xsd','./msxsdtest/element',valid),
+ STResList97 = [STRes96|STResList96],
+
+
+ ?line {STRes97,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ004.xsd','./msxsdtest/element',valid),
+ STResList98 = [STRes97|STResList97],
+
+
+ ?line {STRes98,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ005.xsd','./msxsdtest/element',valid),
+ STResList99 = [STRes98|STResList98],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ006.xsd','./msxsdtest/element',invalid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ007.xsd','./msxsdtest/element',invalid),
+ STResList101 = [STRes100|STResList100],
+
+
+ ?line {STRes101,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ008.xsd','./msxsdtest/element',invalid),
+ STResList102 = [STRes101|STResList101],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ009.xsd','./msxsdtest/element',valid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ010.xsd','./msxsdtest/element',valid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ011.xsd','./msxsdtest/element',valid),
+ STResList105 = [STRes104|STResList104],
+
+
+ ?line {STRes105,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ012.xsd','./msxsdtest/element',invalid),
+ STResList106 = [STRes105|STResList105],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ013.xsd','./msxsdtest/element',valid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ014.xsd','./msxsdtest/element',invalid),
+ STResList108 = [STRes107|STResList107],
+
+
+ ?line {STRes108,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ015.xsd','./msxsdtest/element',invalid),
+ STResList109 = [STRes108|STResList108],
+
+
+ ?line {STRes109,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ016.xsd','./msxsdtest/element',invalid),
+ STResList110 = [STRes109|STResList109],
+
+
+ ?line {STRes110,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ017.xsd','./msxsdtest/element',valid),
+ STResList111 = [STRes110|STResList110],
+
+
+ ?line {STRes111,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ018.xsd','./msxsdtest/element',valid),
+ STResList112 = [STRes111|STResList111],
+
+
+ ?line {STRes112,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ019.xsd','./msxsdtest/element',invalid),
+ STResList113 = [STRes112|STResList112],
+
+
+ ?line {STRes113,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ020.xsd','./msxsdtest/element',invalid),
+ STResList114 = [STRes113|STResList113],
+
+
+ ?line {STRes114,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemJ021.xsd','./msxsdtest/element',valid),
+ STResList115 = [STRes114|STResList114],
+
+
+ ?line {STRes115,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemK001.xsd','./msxsdtest/element',valid),
+ STResList116 = [STRes115|STResList115],
+
+
+ ?line {STRes116,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemK002.xsd','./msxsdtest/element',valid),
+ STResList117 = [STRes116|STResList116],
+
+
+ ?line {STRes117,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemK003.xsd','./msxsdtest/element',invalid),
+ STResList118 = [STRes117|STResList117],
+
+
+ ?line {STRes118,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemK004.xsd','./msxsdtest/element',invalid),
+ STResList119 = [STRes118|STResList118],
+
+
+ ?line {STRes119,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemK005.xsd','./msxsdtest/element',invalid),
+ STResList120 = [STRes119|STResList119],
+
+
+ ?line {STRes120,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemK006.xsd','./msxsdtest/element',invalid),
+ STResList121 = [STRes120|STResList120],
+
+
+ ?line {STRes121,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemK007.xsd','./msxsdtest/element',invalid),
+ STResList122 = [STRes121|STResList121],
+
+
+ ?line {STRes122,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemL001.xsd','./msxsdtest/element',valid),
+ STResList123 = [STRes122|STResList122],
+
+
+ ?line {STRes123,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemL002.xsd','./msxsdtest/element',invalid),
+ STResList124 = [STRes123|STResList123],
+
+
+ ?line {STRes124,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemL003.xsd','./msxsdtest/element',invalid),
+ STResList125 = [STRes124|STResList124],
+
+
+ ?line {STRes125,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemL004.xsd','./msxsdtest/element',valid),
+ STResList126 = [STRes125|STResList125],
+
+
+ ?line {STRes126,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemL005.xsd','./msxsdtest/element',valid),
+ STResList127 = [STRes126|STResList126],
+
+
+ ?line {STRes127,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemM001.xsd','./msxsdtest/element',valid),
+ STResList128 = [STRes127|STResList127],
+
+
+ ?line {STRes128,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemM002.xsd','./msxsdtest/element',invalid),
+ STResList129 = [STRes128|STResList128],
+
+
+ ?line {STRes129,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemM003.xsd','./msxsdtest/element',invalid),
+ STResList130 = [STRes129|STResList129],
+
+
+ ?line {STRes130,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemM004.xsd','./msxsdtest/element',valid),
+ STResList131 = [STRes130|STResList130],
+
+
+ ?line {STRes131,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemM005.xsd','./msxsdtest/element',invalid),
+ STResList132 = [STRes131|STResList131],
+
+
+ ?line {STRes132,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemN001.xsd','./msxsdtest/element',valid),
+ STResList133 = [STRes132|STResList132],
+
+
+ ?line {STRes133,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemN002.xsd','./msxsdtest/element',valid),
+ STResList134 = [STRes133|STResList133],
+
+
+ ?line {STRes134,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemN003.xsd','./msxsdtest/element',valid),
+ STResList135 = [STRes134|STResList134],
+
+
+ ?line {STRes135,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemN004.xsd','./msxsdtest/element',valid),
+ STResList136 = [STRes135|STResList135],
+
+
+ ?line {STRes136,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemN005.xsd','./msxsdtest/element',valid),
+ STResList137 = [STRes136|STResList136],
+
+
+ ?line {STRes137,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemN006.xsd','./msxsdtest/element',invalid),
+ STResList138 = [STRes137|STResList137],
+
+
+ ?line {STRes138,S138} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO001.xsd','./msxsdtest/element',valid),
+ STResList139 = [STRes138|STResList138],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO001.xml','./msxsdtest/element',invalid,S138),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes139,S139} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO002.xsd','./msxsdtest/element',valid),
+ STResList140 = [STRes139|STResList139],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO002.xml','./msxsdtest/element',valid,S139),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes140,S140} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO003.xsd','./msxsdtest/element',valid),
+ STResList141 = [STRes140|STResList140],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO003.xml','./msxsdtest/element',valid,S140),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes141,S141} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO004.xsd','./msxsdtest/element',valid),
+ STResList142 = [STRes141|STResList141],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO004.xml','./msxsdtest/element',valid,S141),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes142,S142} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO005.xsd','./msxsdtest/element',valid),
+ STResList143 = [STRes142|STResList142],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO005.xml','./msxsdtest/element',valid,S142),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes143,S143} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO006.xsd','./msxsdtest/element',valid),
+ STResList144 = [STRes143|STResList143],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO006.xml','./msxsdtest/element',valid,S143),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes144,S144} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO007.xsd','./msxsdtest/element',valid),
+ STResList145 = [STRes144|STResList144],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO007.xml','./msxsdtest/element',invalid,S144),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes145,S145} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO008.xsd','./msxsdtest/element',valid),
+ STResList146 = [STRes145|STResList145],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO008.xml','./msxsdtest/element',valid,S145),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes146,S146} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO009.xsd','./msxsdtest/element',valid),
+ STResList147 = [STRes146|STResList146],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO009.xml','./msxsdtest/element',valid,S146),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes147,S147} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO010.xsd','./msxsdtest/element',valid),
+ STResList148 = [STRes147|STResList147],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO010.xml','./msxsdtest/element',invalid,S147),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes148,S148} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO011.xsd','./msxsdtest/element',valid),
+ STResList149 = [STRes148|STResList148],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO011.xml','./msxsdtest/element',invalid,S148),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes149,S149} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemO012.xsd','./msxsdtest/element',valid),
+ STResList150 = [STRes149|STResList149],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemO012.xml','./msxsdtest/element',valid,S149),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes150,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP001.xsd','./msxsdtest/element',invalid),
+ STResList151 = [STRes150|STResList150],
+
+
+ ?line {STRes151,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP002.xsd','./msxsdtest/element',invalid),
+ STResList152 = [STRes151|STResList151],
+
+
+ ?line {STRes152,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP003.xsd','./msxsdtest/element',valid),
+ STResList153 = [STRes152|STResList152],
+
+
+ ?line {STRes153,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP004.xsd','./msxsdtest/element',valid),
+ STResList154 = [STRes153|STResList153],
+
+
+ ?line {STRes154,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP005.xsd','./msxsdtest/element',invalid),
+ STResList155 = [STRes154|STResList154],
+
+
+ ?line {STRes155,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP006.xsd','./msxsdtest/element',invalid),
+ STResList156 = [STRes155|STResList155],
+
+
+ ?line {STRes156,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP007.xsd','./msxsdtest/element',invalid),
+ STResList157 = [STRes156|STResList156],
+
+
+ ?line {STRes157,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP008.xsd','./msxsdtest/element',invalid),
+ STResList158 = [STRes157|STResList157],
+
+
+ ?line {STRes158,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemP009.xsd','./msxsdtest/element',invalid),
+ STResList159 = [STRes158|STResList158],
+
+
+ ?line {STRes159,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ001.xsd','./msxsdtest/element',valid),
+ STResList160 = [STRes159|STResList159],
+
+
+ ?line {STRes160,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ002.xsd','./msxsdtest/element',valid),
+ STResList161 = [STRes160|STResList160],
+
+
+ ?line {STRes161,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ003.xsd','./msxsdtest/element',valid),
+ STResList162 = [STRes161|STResList161],
+
+
+ ?line {STRes162,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ004.xsd','./msxsdtest/element',invalid),
+ STResList163 = [STRes162|STResList162],
+
+
+ ?line {STRes163,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ005.xsd','./msxsdtest/element',valid),
+ STResList164 = [STRes163|STResList163],
+
+
+ ?line {STRes164,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ006.xsd','./msxsdtest/element',invalid),
+ STResList165 = [STRes164|STResList164],
+
+
+ ?line {STRes165,S165} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ007.xsd','./msxsdtest/element',valid),
+ STResList166 = [STRes165|STResList165],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ007.xml','./msxsdtest/element',invalid,S165),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes166,S166} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ008.xsd','./msxsdtest/element',valid),
+ STResList167 = [STRes166|STResList166],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ008.xml','./msxsdtest/element',valid,S166),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes167,S167} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ009.xsd','./msxsdtest/element',valid),
+ STResList168 = [STRes167|STResList167],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ009.xml','./msxsdtest/element',invalid,S167),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes168,S168} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ010.xsd','./msxsdtest/element',valid),
+ STResList169 = [STRes168|STResList168],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ010.xml','./msxsdtest/element',invalid,S168),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes169,S169} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ011.xsd','./msxsdtest/element',valid),
+ STResList170 = [STRes169|STResList169],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ011.xml','./msxsdtest/element',valid,S169),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes170,S170} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ012.xsd','./msxsdtest/element',valid),
+ STResList171 = [STRes170|STResList170],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ012.xml','./msxsdtest/element',invalid,S170),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes171,S171} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ013.xsd','./msxsdtest/element',valid),
+ STResList172 = [STRes171|STResList171],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ013.xml','./msxsdtest/element',valid,S171),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes172,S172} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ014.xsd','./msxsdtest/element',valid),
+ STResList173 = [STRes172|STResList172],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ014.xml','./msxsdtest/element',invalid,S172),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes173,S173} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ015.xsd','./msxsdtest/element',valid),
+ STResList174 = [STRes173|STResList173],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ015.xml','./msxsdtest/element',valid,S173),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes174,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ016.xsd','./msxsdtest/element',valid),
+ STResList175 = [STRes174|STResList174],
+
+
+ ?line {STRes175,S175} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ017.xsd','./msxsdtest/element',valid),
+ STResList176 = [STRes175|STResList175],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ017.xml','./msxsdtest/element',valid,S175),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes176,S176} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ018.xsd','./msxsdtest/element',valid),
+ STResList177 = [STRes176|STResList176],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ018.xml','./msxsdtest/element',invalid,S176),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes177,S177} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ019.xsd','./msxsdtest/element',valid),
+ STResList178 = [STRes177|STResList177],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ019.xml','./msxsdtest/element',invalid,S177),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes178,S178} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ020.xsd','./msxsdtest/element',valid),
+ STResList179 = [STRes178|STResList178],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ020.xml','./msxsdtest/element',valid,S178),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes179,S179} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ021.xsd','./msxsdtest/element',valid),
+ STResList180 = [STRes179|STResList179],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ021.xml','./msxsdtest/element',valid,S179),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes180,S180} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemQ022.xsd','./msxsdtest/element',valid),
+ STResList181 = [STRes180|STResList180],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemQ022.xml','./msxsdtest/element',valid,S180),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes181,S181} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemR001.xsd','./msxsdtest/element',valid),
+ STResList182 = [STRes181|STResList181],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemR001.xml','./msxsdtest/element',valid,S181),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes182,S182} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemR002.xsd','./msxsdtest/element',valid),
+ STResList183 = [STRes182|STResList182],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemR002.xml','./msxsdtest/element',valid,S182),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes183,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemR003.xsd','./msxsdtest/element',invalid),
+ STResList184 = [STRes183|STResList183],
+
+
+ ?line {STRes184,S184} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemR004.xsd','./msxsdtest/element',valid),
+ STResList185 = [STRes184|STResList184],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemR004.xml','./msxsdtest/element',valid,S184),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes185,S185} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemR005.xsd','./msxsdtest/element',valid),
+ STResList186 = [STRes185|STResList185],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemR005.xml','./msxsdtest/element',valid,S185),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes186,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemR006.xsd','./msxsdtest/element',invalid),
+ STResList187 = [STRes186|STResList186],
+
+
+ ?line {STRes187,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS001.xsd','./msxsdtest/element',invalid),
+ STResList188 = [STRes187|STResList187],
+
+
+ ?line {STRes188,S188} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS002.xsd','./msxsdtest/element',valid),
+ STResList189 = [STRes188|STResList188],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemS002.xml','./msxsdtest/element',valid,S188),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes189,S189} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS003.xsd','./msxsdtest/element',valid),
+ STResList190 = [STRes189|STResList189],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemS003.xml','./msxsdtest/element',valid,S189),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes190,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS004.xsd','./msxsdtest/element',invalid),
+ STResList191 = [STRes190|STResList190],
+
+
+ ?line {STRes191,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS005.xsd','./msxsdtest/element',invalid),
+ STResList192 = [STRes191|STResList191],
+
+
+ ?line {STRes192,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS006.xsd','./msxsdtest/element',invalid),
+ STResList193 = [STRes192|STResList192],
+
+
+ ?line {STRes193,S193} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS007.xsd','./msxsdtest/element',valid),
+ STResList194 = [STRes193|STResList193],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemS007.xml','./msxsdtest/element',valid,S193),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes194,S194} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemS008.xsd','./msxsdtest/element',valid),
+ STResList195 = [STRes194|STResList194],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemS008.xml','./msxsdtest/element',valid,S194),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes195,S195} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT001.xsd','./msxsdtest/element',valid),
+ STResList196 = [STRes195|STResList195],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT001.xml','./msxsdtest/element',invalid,S195),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes196,S196} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT002.xsd','./msxsdtest/element',valid),
+ STResList197 = [STRes196|STResList196],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT002.xml','./msxsdtest/element',valid,S196),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes197,S197} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT003.xsd','./msxsdtest/element',valid),
+ STResList198 = [STRes197|STResList197],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT003.xml','./msxsdtest/element',valid,S197),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes198,S198} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT004.xsd','./msxsdtest/element',valid),
+ STResList199 = [STRes198|STResList198],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT004.xml','./msxsdtest/element',invalid,S198),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes199,S199} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT005.xsd','./msxsdtest/element',valid),
+ STResList200 = [STRes199|STResList199],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT005.xml','./msxsdtest/element',invalid,S199),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes200,S200} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT006.xsd','./msxsdtest/element',valid),
+ STResList201 = [STRes200|STResList200],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT006.xml','./msxsdtest/element',invalid,S200),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes201,S201} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT007.xsd','./msxsdtest/element',valid),
+ STResList202 = [STRes201|STResList201],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT007.xml','./msxsdtest/element',valid,S201),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes202,S202} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT008.xsd','./msxsdtest/element',valid),
+ STResList203 = [STRes202|STResList202],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT008.xml','./msxsdtest/element',valid,S202),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes203,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT009.xsd','./msxsdtest/element',invalid),
+ STResList204 = [STRes203|STResList203],
+
+
+ ?line {STRes204,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT010.xsd','./msxsdtest/element',invalid),
+ STResList205 = [STRes204|STResList204],
+
+
+ ?line {STRes205,S205} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT011.xsd','./msxsdtest/element',valid),
+ STResList206 = [STRes205|STResList205],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT011.xml','./msxsdtest/element',invalid,S205),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes206,S206} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT012.xsd','./msxsdtest/element',valid),
+ STResList207 = [STRes206|STResList206],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT012.xml','./msxsdtest/element',invalid,S206),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes207,S207} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT013.xsd','./msxsdtest/element',valid),
+ STResList208 = [STRes207|STResList207],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT013.xml','./msxsdtest/element',invalid,S207),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes208,S208} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT014.xsd','./msxsdtest/element',valid),
+ STResList209 = [STRes208|STResList208],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT014.xml','./msxsdtest/element',valid,S208),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes209,S209} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT015.xsd','./msxsdtest/element',valid),
+ STResList210 = [STRes209|STResList209],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT015.xml','./msxsdtest/element',valid,S209),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes210,S210} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT016.xsd','./msxsdtest/element',valid),
+ STResList211 = [STRes210|STResList210],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT016.xml','./msxsdtest/element',valid,S210),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes211,S211} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT017.xsd','./msxsdtest/element',valid),
+ STResList212 = [STRes211|STResList211],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT017.xml','./msxsdtest/element',invalid,S211),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes212,S212} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT018.xsd','./msxsdtest/element',valid),
+ STResList213 = [STRes212|STResList212],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT018.xml','./msxsdtest/element',invalid,S212),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes213,S213} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT019.xsd','./msxsdtest/element',valid),
+ STResList214 = [STRes213|STResList213],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT019.xml','./msxsdtest/element',invalid,S213),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes214,S214} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT022.xsd','./msxsdtest/element',valid),
+ STResList215 = [STRes214|STResList214],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT022.xml','./msxsdtest/element',valid,S214),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes215,S215} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT024.xsd','./msxsdtest/element',valid),
+ STResList216 = [STRes215|STResList215],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT024.xml','./msxsdtest/element',invalid,S215),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes216,S216} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT025.xsd','./msxsdtest/element',valid),
+ STResList217 = [STRes216|STResList216],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT025.xml','./msxsdtest/element',valid,S216),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes217,S217} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT026.xsd','./msxsdtest/element',valid),
+ STResList218 = [STRes217|STResList217],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT026.xml','./msxsdtest/element',valid,S217),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes218,S218} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT027.xsd','./msxsdtest/element',valid),
+ STResList219 = [STRes218|STResList218],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT027.xml','./msxsdtest/element',valid,S218),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes219,S219} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT028.xsd','./msxsdtest/element',valid),
+ STResList220 = [STRes219|STResList219],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT028.xml','./msxsdtest/element',valid,S219),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes220,S220} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT029.xsd','./msxsdtest/element',valid),
+ STResList221 = [STRes220|STResList220],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT029.xml','./msxsdtest/element',valid,S220),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes221,S221} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT030.xsd','./msxsdtest/element',valid),
+ STResList222 = [STRes221|STResList221],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT030.xml','./msxsdtest/element',valid,S221),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes222,S222} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT031.xsd','./msxsdtest/element',valid),
+ STResList223 = [STRes222|STResList222],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT031.xml','./msxsdtest/element',invalid,S222),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes223,S223} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT032.xsd','./msxsdtest/element',valid),
+ STResList224 = [STRes223|STResList223],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT032.xml','./msxsdtest/element',valid,S223),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes224,S224} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT033.xsd','./msxsdtest/element',valid),
+ STResList225 = [STRes224|STResList224],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT033.xml','./msxsdtest/element',invalid,S224),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes225,S225} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT038.xsd','./msxsdtest/element',valid),
+ STResList226 = [STRes225|STResList225],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT038.xml','./msxsdtest/element',valid,S225),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes226,S226} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT039.xsd','./msxsdtest/element',valid),
+ STResList227 = [STRes226|STResList226],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT039.xml','./msxsdtest/element',invalid,S226),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes227,S227} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT040.xsd','./msxsdtest/element',valid),
+ STResList228 = [STRes227|STResList227],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT040.xml','./msxsdtest/element',valid,S227),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes228,S228} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT041.xsd','./msxsdtest/element',valid),
+ STResList229 = [STRes228|STResList228],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT041.xml','./msxsdtest/element',valid,S228),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes229,S229} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT042.xsd','./msxsdtest/element',valid),
+ STResList230 = [STRes229|STResList229],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT042.xml','./msxsdtest/element',valid,S229),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes230,S230} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT043.xsd','./msxsdtest/element',valid),
+ STResList231 = [STRes230|STResList230],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT043.xml','./msxsdtest/element',valid,S230),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes231,S231} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT044.xsd','./msxsdtest/element',valid),
+ STResList232 = [STRes231|STResList231],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT044.xml','./msxsdtest/element',valid,S231),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes232,S232} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT045.xsd','./msxsdtest/element',valid),
+ STResList233 = [STRes232|STResList232],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT045.xml','./msxsdtest/element',invalid,S232),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes233,S233} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT046.xsd','./msxsdtest/element',valid),
+ STResList234 = [STRes233|STResList233],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT046.xml','./msxsdtest/element',invalid,S233),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes234,S234} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT047.xsd','./msxsdtest/element',valid),
+ STResList235 = [STRes234|STResList234],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT047.xml','./msxsdtest/element',invalid,S234),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes235,S235} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT048.xsd','./msxsdtest/element',valid),
+ STResList236 = [STRes235|STResList235],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT048.xml','./msxsdtest/element',invalid,S235),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes236,S236} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT049.xsd','./msxsdtest/element',valid),
+ STResList237 = [STRes236|STResList236],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT049.xml','./msxsdtest/element',invalid,S236),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes237,S237} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT050.xsd','./msxsdtest/element',valid),
+ STResList238 = [STRes237|STResList237],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT050.xml','./msxsdtest/element',invalid,S237),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes238,S238} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT051.xsd','./msxsdtest/element',valid),
+ STResList239 = [STRes238|STResList238],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT051.xml','./msxsdtest/element',invalid,S238),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes239,S239} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT052.xsd','./msxsdtest/element',valid),
+ STResList240 = [STRes239|STResList239],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT052.xml','./msxsdtest/element',invalid,S239),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes240,S240} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT053.xsd','./msxsdtest/element',valid),
+ STResList241 = [STRes240|STResList240],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT053.xml','./msxsdtest/element',invalid,S240),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes241,S241} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT054.xsd','./msxsdtest/element',valid),
+ STResList242 = [STRes241|STResList241],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT054.xml','./msxsdtest/element',invalid,S241),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes242,S242} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT055.xsd','./msxsdtest/element',valid),
+ STResList243 = [STRes242|STResList242],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT055.xml','./msxsdtest/element',invalid,S242),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes243,S243} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT056.xsd','./msxsdtest/element',valid),
+ STResList244 = [STRes243|STResList243],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT056.xml','./msxsdtest/element',invalid,S243),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes244,S244} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT057.xsd','./msxsdtest/element',valid),
+ STResList245 = [STRes244|STResList244],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT057.xml','./msxsdtest/element',invalid,S244),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes245,S245} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT058.xsd','./msxsdtest/element',valid),
+ STResList246 = [STRes245|STResList245],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT058.xml','./msxsdtest/element',valid,S245),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes246,S246} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemT074.xsd','./msxsdtest/element',valid),
+ STResList247 = [STRes246|STResList246],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemT074.xml','./msxsdtest/element',invalid,S246),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes247,S247} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU001.xsd','./msxsdtest/element',valid),
+ STResList248 = [STRes247|STResList247],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU001.xml','./msxsdtest/element',valid,S247),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes248,S248} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU002.xsd','./msxsdtest/element',valid),
+ STResList249 = [STRes248|STResList248],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU002.xml','./msxsdtest/element',valid,S248),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes249,S249} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU003.xsd','./msxsdtest/element',valid),
+ STResList250 = [STRes249|STResList249],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU003.xml','./msxsdtest/element',valid,S249),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes250,S250} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU004.xsd','./msxsdtest/element',valid),
+ STResList251 = [STRes250|STResList250],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU004.xml','./msxsdtest/element',valid,S250),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes251,S251} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU005.xsd','./msxsdtest/element',valid),
+ STResList252 = [STRes251|STResList251],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU005.xml','./msxsdtest/element',valid,S251),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes252,S252} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU006.xsd','./msxsdtest/element',valid),
+ STResList253 = [STRes252|STResList252],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU006.xml','./msxsdtest/element',valid,S252),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes253,S253} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU007.xsd','./msxsdtest/element',valid),
+ STResList254 = [STRes253|STResList253],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU007.xml','./msxsdtest/element',valid,S253),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes254,S254} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU008.xsd','./msxsdtest/element',valid),
+ STResList255 = [STRes254|STResList254],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU008.xml','./msxsdtest/element',valid,S254),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes255,S255} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU009.xsd','./msxsdtest/element',valid),
+ STResList256 = [STRes255|STResList255],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU009.xml','./msxsdtest/element',valid,S255),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes256,S256} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU010.xsd','./msxsdtest/element',valid),
+ STResList257 = [STRes256|STResList256],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU010.xml','./msxsdtest/element',valid,S256),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes257,S257} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU011.xsd','./msxsdtest/element',valid),
+ STResList258 = [STRes257|STResList257],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU011.xml','./msxsdtest/element',valid,S257),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes258,S258} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU012.xsd','./msxsdtest/element',valid),
+ STResList259 = [STRes258|STResList258],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU012.xml','./msxsdtest/element',valid,S258),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes259,S259} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU013.xsd','./msxsdtest/element',valid),
+ STResList260 = [STRes259|STResList259],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU013.xml','./msxsdtest/element',valid,S259),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes260,S260} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU014.xsd','./msxsdtest/element',valid),
+ STResList261 = [STRes260|STResList260],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU014.xml','./msxsdtest/element',valid,S260),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes261,S261} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU015.xsd','./msxsdtest/element',valid),
+ STResList262 = [STRes261|STResList261],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU015.xml','./msxsdtest/element',valid,S261),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes262,S262} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU017.xsd','./msxsdtest/element',valid),
+ STResList263 = [STRes262|STResList262],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU017.xml','./msxsdtest/element',valid,S262),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes263,S263} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU018.xsd','./msxsdtest/element',valid),
+ STResList264 = [STRes263|STResList263],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU018.xml','./msxsdtest/element',valid,S263),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes264,S264} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU019.xsd','./msxsdtest/element',valid),
+ STResList265 = [STRes264|STResList264],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU019.xml','./msxsdtest/element',valid,S264),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes265,S265} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU020.xsd','./msxsdtest/element',valid),
+ STResList266 = [STRes265|STResList265],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU020.xml','./msxsdtest/element',valid,S265),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes266,S266} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU021.xsd','./msxsdtest/element',valid),
+ STResList267 = [STRes266|STResList266],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU021.xml','./msxsdtest/element',valid,S266),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes267,S267} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU022.xsd','./msxsdtest/element',valid),
+ STResList268 = [STRes267|STResList267],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU022.xml','./msxsdtest/element',valid,S267),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes268,S268} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU023.xsd','./msxsdtest/element',valid),
+ STResList269 = [STRes268|STResList268],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU023.xml','./msxsdtest/element',valid,S268),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes269,S269} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU024.xsd','./msxsdtest/element',valid),
+ STResList270 = [STRes269|STResList269],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU024.xml','./msxsdtest/element',valid,S269),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ ?line {STRes270,S270} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemU025.xsd','./msxsdtest/element',valid),
+ STResList271 = [STRes270|STResList270],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemU025.xml','./msxsdtest/element',invalid,S270),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes271,S271} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemZ001.xsd','./msxsdtest/element',valid),
+ STResList272 = [STRes271|STResList271],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemZ001.xml','./msxsdtest/element',invalid,S271),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes272,S272} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemZ002.xsd','./msxsdtest/element',valid),
+ STResList273 = [STRes272|STResList272],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemZ002.xml','./msxsdtest/element',valid,S272),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes273,S273} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemZ003.xsd','./msxsdtest/element',valid),
+ STResList274 = [STRes273|STResList273],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/element/elemZ003.xml','./msxsdtest/element',valid,S273),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes274,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemZ004.xsd','./msxsdtest/element',valid),
+ STResList275 = [STRes274|STResList274],
+
+
+ ?line {STRes275,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/element/elemZ005.xsd','./msxsdtest/element',valid),
+ STResList276 = [STRes275|STResList275],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList276,ITResList112).
+
+%% Syntax Checking Model Group Tests.
+%% Content Checking Model Group Tests.
+
+group(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA001.xsd','./msxsdtest/Group',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA002.xsd','./msxsdtest/Group',valid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA003.xsd','./msxsdtest/Group',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA004.xsd','./msxsdtest/Group',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA005.xsd','./msxsdtest/Group',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA006.xsd','./msxsdtest/Group',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA007.xsd','./msxsdtest/Group',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA008.xsd','./msxsdtest/Group',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA009.xsd','./msxsdtest/Group',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA010.xsd','./msxsdtest/Group',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA011.xsd','./msxsdtest/Group',valid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupA012.xsd','./msxsdtest/Group',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB001.xsd','./msxsdtest/Group',invalid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB002.xsd','./msxsdtest/Group',valid),
+ STResList14 = [STRes13|STResList13],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupB002.xml','./msxsdtest/Group',valid,S13),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB003.xsd','./msxsdtest/Group',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupB003.xml','./msxsdtest/Group',valid,S14),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB004.xsd','./msxsdtest/Group',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupB004.xml','./msxsdtest/Group',valid,S15),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB005.xsd','./msxsdtest/Group',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupB005.xml','./msxsdtest/Group',valid,S16),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB006.xsd','./msxsdtest/Group',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupB006.xml','./msxsdtest/Group',valid,S17),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB007.xsd','./msxsdtest/Group',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB008.xsd','./msxsdtest/Group',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB009.xsd','./msxsdtest/Group',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupB009.xml','./msxsdtest/Group',valid,S20),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB010.xsd','./msxsdtest/Group',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupB010.xml','./msxsdtest/Group',valid,S21),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB011.xsd','./msxsdtest/Group',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB012.xsd','./msxsdtest/Group',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB013.xsd','./msxsdtest/Group',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB014.xsd','./msxsdtest/Group',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB015.xsd','./msxsdtest/Group',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB016.xsd','./msxsdtest/Group',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupB017.xsd','./msxsdtest/Group',valid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC001.xsd','./msxsdtest/Group',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC002.xsd','./msxsdtest/Group',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC003.xsd','./msxsdtest/Group',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC004.xsd','./msxsdtest/Group',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC005.xsd','./msxsdtest/Group',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC006.xsd','./msxsdtest/Group',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC007.xsd','./msxsdtest/Group',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC008.xsd','./msxsdtest/Group',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC009.xsd','./msxsdtest/Group',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC010.xsd','./msxsdtest/Group',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC011.xsd','./msxsdtest/Group',valid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupC012.xsd','./msxsdtest/Group',invalid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupD001.xsd','./msxsdtest/Group',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupD002.xsd','./msxsdtest/Group',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupD003.xsd','./msxsdtest/Group',invalid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupD004.xsd','./msxsdtest/Group',invalid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupE001.xsd','./msxsdtest/Group',valid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupE002.xsd','./msxsdtest/Group',invalid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupE003.xsd','./msxsdtest/Group',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupE003.xml','./msxsdtest/Group',invalid,S47),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupE004.xsd','./msxsdtest/Group',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupE004.xml','./msxsdtest/Group',valid,S48),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupE005.xsd','./msxsdtest/Group',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupE005.xml','./msxsdtest/Group',invalid,S49),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF001.xsd','./msxsdtest/Group',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF001.xml','./msxsdtest/Group',valid,S50),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF002.xsd','./msxsdtest/Group',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF002.xml','./msxsdtest/Group',valid,S51),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF003.xsd','./msxsdtest/Group',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF003.xml','./msxsdtest/Group',invalid,S52),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes53,S53} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF004.xsd','./msxsdtest/Group',valid),
+ STResList54 = [STRes53|STResList53],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF004.xml','./msxsdtest/Group',valid,S53),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes54,S54} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF005.xsd','./msxsdtest/Group',valid),
+ STResList55 = [STRes54|STResList54],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF005.xml','./msxsdtest/Group',valid,S54),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes55,S55} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF006.xsd','./msxsdtest/Group',valid),
+ STResList56 = [STRes55|STResList55],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF006.xml','./msxsdtest/Group',invalid,S55),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes56,S56} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF007.xsd','./msxsdtest/Group',valid),
+ STResList57 = [STRes56|STResList56],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF007.xml','./msxsdtest/Group',valid,S56),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF008.xsd','./msxsdtest/Group',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF008.xml','./msxsdtest/Group',invalid,S57),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes58,S58} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF009.xsd','./msxsdtest/Group',valid),
+ STResList59 = [STRes58|STResList58],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF009.xml','./msxsdtest/Group',valid,S58),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF010.xsd','./msxsdtest/Group',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF010.xml','./msxsdtest/Group',invalid,S59),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF011.xsd','./msxsdtest/Group',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF011.xml','./msxsdtest/Group',valid,S60),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes61,S61} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF012.xsd','./msxsdtest/Group',valid),
+ STResList62 = [STRes61|STResList61],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF012.xml','./msxsdtest/Group',invalid,S61),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF013.xsd','./msxsdtest/Group',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF013.xml','./msxsdtest/Group',invalid,S62),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF014.xsd','./msxsdtest/Group',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF014.xml','./msxsdtest/Group',valid,S63),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF015.xsd','./msxsdtest/Group',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF015.xml','./msxsdtest/Group',invalid,S64),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF016.xsd','./msxsdtest/Group',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF016.xml','./msxsdtest/Group',invalid,S65),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF017.xsd','./msxsdtest/Group',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF017.xml','./msxsdtest/Group',valid,S66),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes67,S67} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF018.xsd','./msxsdtest/Group',valid),
+ STResList68 = [STRes67|STResList67],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF018.xml','./msxsdtest/Group',valid,S67),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF019.xsd','./msxsdtest/Group',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF019.xml','./msxsdtest/Group',invalid,S68),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF020.xsd','./msxsdtest/Group',invalid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,S70} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF021.xsd','./msxsdtest/Group',valid),
+ STResList71 = [STRes70|STResList70],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupF021.xml','./msxsdtest/Group',valid,S70),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF022.xsd','./msxsdtest/Group',invalid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF023.xsd','./msxsdtest/Group',invalid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF024.xsd','./msxsdtest/Group',invalid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupF025.xsd','./msxsdtest/Group',invalid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupG001.xsd','./msxsdtest/Group',valid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupG002.xsd','./msxsdtest/Group',invalid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupG003.xsd','./msxsdtest/Group',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupG003.xml','./msxsdtest/Group',invalid,S77),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupG004.xsd','./msxsdtest/Group',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupG004.xml','./msxsdtest/Group',valid,S78),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes79,S79} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupG005.xsd','./msxsdtest/Group',valid),
+ STResList80 = [STRes79|STResList79],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupG005.xml','./msxsdtest/Group',invalid,S79),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH001.xsd','./msxsdtest/Group',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH001.xml','./msxsdtest/Group',valid,S80),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes81,S81} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH002.xsd','./msxsdtest/Group',valid),
+ STResList82 = [STRes81|STResList81],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH002.xml','./msxsdtest/Group',valid,S81),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes82,S82} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH003.xsd','./msxsdtest/Group',valid),
+ STResList83 = [STRes82|STResList82],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH003.xml','./msxsdtest/Group',invalid,S82),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes83,S83} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH004.xsd','./msxsdtest/Group',valid),
+ STResList84 = [STRes83|STResList83],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH004.xml','./msxsdtest/Group',valid,S83),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes84,S84} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH005.xsd','./msxsdtest/Group',valid),
+ STResList85 = [STRes84|STResList84],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH005.xml','./msxsdtest/Group',valid,S84),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes85,S85} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH006.xsd','./msxsdtest/Group',valid),
+ STResList86 = [STRes85|STResList85],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH006.xml','./msxsdtest/Group',invalid,S85),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes86,S86} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH007.xsd','./msxsdtest/Group',valid),
+ STResList87 = [STRes86|STResList86],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH007.xml','./msxsdtest/Group',valid,S86),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes87,S87} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH008.xsd','./msxsdtest/Group',valid),
+ STResList88 = [STRes87|STResList87],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH008.xml','./msxsdtest/Group',invalid,S87),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes88,S88} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH009.xsd','./msxsdtest/Group',valid),
+ STResList89 = [STRes88|STResList88],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH009.xml','./msxsdtest/Group',valid,S88),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes89,S89} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH010.xsd','./msxsdtest/Group',valid),
+ STResList90 = [STRes89|STResList89],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH010.xml','./msxsdtest/Group',invalid,S89),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes90,S90} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH011.xsd','./msxsdtest/Group',valid),
+ STResList91 = [STRes90|STResList90],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH011.xml','./msxsdtest/Group',valid,S90),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes91,S91} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH012.xsd','./msxsdtest/Group',valid),
+ STResList92 = [STRes91|STResList91],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH012.xml','./msxsdtest/Group',invalid,S91),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes92,S92} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH013.xsd','./msxsdtest/Group',valid),
+ STResList93 = [STRes92|STResList92],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH013.xml','./msxsdtest/Group',invalid,S92),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes93,S93} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH014.xsd','./msxsdtest/Group',valid),
+ STResList94 = [STRes93|STResList93],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH014.xml','./msxsdtest/Group',valid,S93),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes94,S94} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH015.xsd','./msxsdtest/Group',valid),
+ STResList95 = [STRes94|STResList94],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH015.xml','./msxsdtest/Group',invalid,S94),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes95,S95} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH016.xsd','./msxsdtest/Group',valid),
+ STResList96 = [STRes95|STResList95],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH016.xml','./msxsdtest/Group',invalid,S95),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes96,S96} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH017.xsd','./msxsdtest/Group',valid),
+ STResList97 = [STRes96|STResList96],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH017.xml','./msxsdtest/Group',valid,S96),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes97,S97} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH018.xsd','./msxsdtest/Group',valid),
+ STResList98 = [STRes97|STResList97],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH018.xml','./msxsdtest/Group',valid,S97),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes98,S98} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH019.xsd','./msxsdtest/Group',valid),
+ STResList99 = [STRes98|STResList98],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH019.xml','./msxsdtest/Group',invalid,S98),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH020.xsd','./msxsdtest/Group',invalid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,S100} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH021.xsd','./msxsdtest/Group',valid),
+ STResList101 = [STRes100|STResList100],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupH021.xml','./msxsdtest/Group',valid,S100),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes101,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH022.xsd','./msxsdtest/Group',invalid),
+ STResList102 = [STRes101|STResList101],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH023.xsd','./msxsdtest/Group',invalid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH024.xsd','./msxsdtest/Group',invalid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupH025.xsd','./msxsdtest/Group',invalid),
+ STResList105 = [STRes104|STResList104],
+
+
+ ?line {STRes105,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupI001.xsd','./msxsdtest/Group',valid),
+ STResList106 = [STRes105|STResList105],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupI002.xsd','./msxsdtest/Group',invalid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupI003.xsd','./msxsdtest/Group',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupI003.xml','./msxsdtest/Group',invalid,S107),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes108,S108} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupI004.xsd','./msxsdtest/Group',valid),
+ STResList109 = [STRes108|STResList108],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupI004.xml','./msxsdtest/Group',valid,S108),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes109,S109} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupI005.xsd','./msxsdtest/Group',valid),
+ STResList110 = [STRes109|STResList109],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupI005.xml','./msxsdtest/Group',invalid,S109),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes110,S110} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ001.xsd','./msxsdtest/Group',valid),
+ STResList111 = [STRes110|STResList110],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ001.xml','./msxsdtest/Group',valid,S110),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes111,S111} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ002.xsd','./msxsdtest/Group',valid),
+ STResList112 = [STRes111|STResList111],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ002.xml','./msxsdtest/Group',valid,S111),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes112,S112} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ003.xsd','./msxsdtest/Group',valid),
+ STResList113 = [STRes112|STResList112],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ003.xml','./msxsdtest/Group',invalid,S112),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes113,S113} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ004.xsd','./msxsdtest/Group',valid),
+ STResList114 = [STRes113|STResList113],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ004.xml','./msxsdtest/Group',valid,S113),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes114,S114} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ005.xsd','./msxsdtest/Group',valid),
+ STResList115 = [STRes114|STResList114],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ005.xml','./msxsdtest/Group',valid,S114),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes115,S115} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ006.xsd','./msxsdtest/Group',valid),
+ STResList116 = [STRes115|STResList115],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ006.xml','./msxsdtest/Group',invalid,S115),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes116,S116} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ007.xsd','./msxsdtest/Group',valid),
+ STResList117 = [STRes116|STResList116],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ007.xml','./msxsdtest/Group',valid,S116),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes117,S117} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ008.xsd','./msxsdtest/Group',valid),
+ STResList118 = [STRes117|STResList117],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ008.xml','./msxsdtest/Group',invalid,S117),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes118,S118} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ009.xsd','./msxsdtest/Group',valid),
+ STResList119 = [STRes118|STResList118],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ009.xml','./msxsdtest/Group',valid,S118),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes119,S119} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ010.xsd','./msxsdtest/Group',valid),
+ STResList120 = [STRes119|STResList119],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ010.xml','./msxsdtest/Group',invalid,S119),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes120,S120} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ011.xsd','./msxsdtest/Group',valid),
+ STResList121 = [STRes120|STResList120],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ011.xml','./msxsdtest/Group',valid,S120),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes121,S121} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ012.xsd','./msxsdtest/Group',valid),
+ STResList122 = [STRes121|STResList121],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ012.xml','./msxsdtest/Group',invalid,S121),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes122,S122} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ013.xsd','./msxsdtest/Group',valid),
+ STResList123 = [STRes122|STResList122],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ013.xml','./msxsdtest/Group',invalid,S122),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes123,S123} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ014.xsd','./msxsdtest/Group',valid),
+ STResList124 = [STRes123|STResList123],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ014.xml','./msxsdtest/Group',valid,S123),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes124,S124} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ015.xsd','./msxsdtest/Group',valid),
+ STResList125 = [STRes124|STResList124],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ015.xml','./msxsdtest/Group',invalid,S124),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes125,S125} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ016.xsd','./msxsdtest/Group',valid),
+ STResList126 = [STRes125|STResList125],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ016.xml','./msxsdtest/Group',invalid,S125),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes126,S126} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ017.xsd','./msxsdtest/Group',valid),
+ STResList127 = [STRes126|STResList126],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ017.xml','./msxsdtest/Group',valid,S126),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes127,S127} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ018.xsd','./msxsdtest/Group',valid),
+ STResList128 = [STRes127|STResList127],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ018.xml','./msxsdtest/Group',valid,S127),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes128,S128} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ019.xsd','./msxsdtest/Group',valid),
+ STResList129 = [STRes128|STResList128],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ019.xml','./msxsdtest/Group',invalid,S128),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes129,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ020.xsd','./msxsdtest/Group',invalid),
+ STResList130 = [STRes129|STResList129],
+
+
+ ?line {STRes130,S130} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ021.xsd','./msxsdtest/Group',valid),
+ STResList131 = [STRes130|STResList130],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupJ021.xml','./msxsdtest/Group',valid,S130),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes131,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ022.xsd','./msxsdtest/Group',invalid),
+ STResList132 = [STRes131|STResList131],
+
+
+ ?line {STRes132,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ023.xsd','./msxsdtest/Group',invalid),
+ STResList133 = [STRes132|STResList132],
+
+
+ ?line {STRes133,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ024.xsd','./msxsdtest/Group',invalid),
+ STResList134 = [STRes133|STResList133],
+
+
+ ?line {STRes134,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupJ025.xsd','./msxsdtest/Group',invalid),
+ STResList135 = [STRes134|STResList134],
+
+
+ ?line {STRes135,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupK001.xsd','./msxsdtest/Group',valid),
+ STResList136 = [STRes135|STResList135],
+
+
+ ?line {STRes136,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupK002.xsd','./msxsdtest/Group',invalid),
+ STResList137 = [STRes136|STResList136],
+
+
+ ?line {STRes137,S137} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupK003.xsd','./msxsdtest/Group',valid),
+ STResList138 = [STRes137|STResList137],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupK003.xml','./msxsdtest/Group',invalid,S137),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes138,S138} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupK004.xsd','./msxsdtest/Group',valid),
+ STResList139 = [STRes138|STResList138],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupK004.xml','./msxsdtest/Group',valid,S138),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes139,S139} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupK005.xsd','./msxsdtest/Group',valid),
+ STResList140 = [STRes139|STResList139],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupK005.xml','./msxsdtest/Group',invalid,S139),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes140,S140} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL001.xsd','./msxsdtest/Group',valid),
+ STResList141 = [STRes140|STResList140],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL001.xml','./msxsdtest/Group',valid,S140),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes141,S141} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL002.xsd','./msxsdtest/Group',valid),
+ STResList142 = [STRes141|STResList141],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL002.xml','./msxsdtest/Group',valid,S141),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes142,S142} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL003.xsd','./msxsdtest/Group',valid),
+ STResList143 = [STRes142|STResList142],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL003.xml','./msxsdtest/Group',invalid,S142),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes143,S143} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL004.xsd','./msxsdtest/Group',valid),
+ STResList144 = [STRes143|STResList143],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL004.xml','./msxsdtest/Group',valid,S143),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes144,S144} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL005.xsd','./msxsdtest/Group',valid),
+ STResList145 = [STRes144|STResList144],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL005.xml','./msxsdtest/Group',valid,S144),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes145,S145} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL006.xsd','./msxsdtest/Group',valid),
+ STResList146 = [STRes145|STResList145],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL006.xml','./msxsdtest/Group',invalid,S145),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes146,S146} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL007.xsd','./msxsdtest/Group',valid),
+ STResList147 = [STRes146|STResList146],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL007.xml','./msxsdtest/Group',valid,S146),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes147,S147} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL008.xsd','./msxsdtest/Group',valid),
+ STResList148 = [STRes147|STResList147],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL008.xml','./msxsdtest/Group',invalid,S147),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes148,S148} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL009.xsd','./msxsdtest/Group',valid),
+ STResList149 = [STRes148|STResList148],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL009.xml','./msxsdtest/Group',valid,S148),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes149,S149} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL010.xsd','./msxsdtest/Group',valid),
+ STResList150 = [STRes149|STResList149],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL010.xml','./msxsdtest/Group',invalid,S149),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes150,S150} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL011.xsd','./msxsdtest/Group',valid),
+ STResList151 = [STRes150|STResList150],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL011.xml','./msxsdtest/Group',valid,S150),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes151,S151} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL012.xsd','./msxsdtest/Group',valid),
+ STResList152 = [STRes151|STResList151],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL012.xml','./msxsdtest/Group',invalid,S151),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes152,S152} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL013.xsd','./msxsdtest/Group',valid),
+ STResList153 = [STRes152|STResList152],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL013.xml','./msxsdtest/Group',invalid,S152),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes153,S153} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL014.xsd','./msxsdtest/Group',valid),
+ STResList154 = [STRes153|STResList153],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL014.xml','./msxsdtest/Group',valid,S153),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes154,S154} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL015.xsd','./msxsdtest/Group',valid),
+ STResList155 = [STRes154|STResList154],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL015.xml','./msxsdtest/Group',invalid,S154),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes155,S155} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL016.xsd','./msxsdtest/Group',valid),
+ STResList156 = [STRes155|STResList155],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL016.xml','./msxsdtest/Group',invalid,S155),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes156,S156} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL017.xsd','./msxsdtest/Group',valid),
+ STResList157 = [STRes156|STResList156],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL017.xml','./msxsdtest/Group',valid,S156),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes157,S157} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL018.xsd','./msxsdtest/Group',valid),
+ STResList158 = [STRes157|STResList157],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL018.xml','./msxsdtest/Group',valid,S157),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes158,S158} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL019.xsd','./msxsdtest/Group',valid),
+ STResList159 = [STRes158|STResList158],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL019.xml','./msxsdtest/Group',invalid,S158),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes159,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL020.xsd','./msxsdtest/Group',invalid),
+ STResList160 = [STRes159|STResList159],
+
+
+ ?line {STRes160,S160} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL021.xsd','./msxsdtest/Group',valid),
+ STResList161 = [STRes160|STResList160],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupL021.xml','./msxsdtest/Group',valid,S160),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes161,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL022.xsd','./msxsdtest/Group',invalid),
+ STResList162 = [STRes161|STResList161],
+
+
+ ?line {STRes162,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL023.xsd','./msxsdtest/Group',invalid),
+ STResList163 = [STRes162|STResList162],
+
+
+ ?line {STRes163,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL024.xsd','./msxsdtest/Group',invalid),
+ STResList164 = [STRes163|STResList163],
+
+
+ ?line {STRes164,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupL025.xsd','./msxsdtest/Group',invalid),
+ STResList165 = [STRes164|STResList164],
+
+
+ ?line {STRes165,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupM001.xsd','./msxsdtest/Group',valid),
+ STResList166 = [STRes165|STResList165],
+
+
+ ?line {STRes166,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupM002.xsd','./msxsdtest/Group',invalid),
+ STResList167 = [STRes166|STResList166],
+
+
+ ?line {STRes167,S167} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupM003.xsd','./msxsdtest/Group',valid),
+ STResList168 = [STRes167|STResList167],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupM003.xml','./msxsdtest/Group',invalid,S167),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes168,S168} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupM004.xsd','./msxsdtest/Group',valid),
+ STResList169 = [STRes168|STResList168],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupM004.xml','./msxsdtest/Group',valid,S168),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes169,S169} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupM005.xsd','./msxsdtest/Group',valid),
+ STResList170 = [STRes169|STResList169],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupM005.xml','./msxsdtest/Group',invalid,S169),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes170,S170} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN001.xsd','./msxsdtest/Group',valid),
+ STResList171 = [STRes170|STResList170],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN001.xml','./msxsdtest/Group',valid,S170),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes171,S171} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN002.xsd','./msxsdtest/Group',valid),
+ STResList172 = [STRes171|STResList171],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN002.xml','./msxsdtest/Group',valid,S171),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes172,S172} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN003.xsd','./msxsdtest/Group',valid),
+ STResList173 = [STRes172|STResList172],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN003.xml','./msxsdtest/Group',invalid,S172),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes173,S173} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN004.xsd','./msxsdtest/Group',valid),
+ STResList174 = [STRes173|STResList173],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN004.xml','./msxsdtest/Group',valid,S173),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes174,S174} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN005.xsd','./msxsdtest/Group',valid),
+ STResList175 = [STRes174|STResList174],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN005.xml','./msxsdtest/Group',valid,S174),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes175,S175} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN006.xsd','./msxsdtest/Group',valid),
+ STResList176 = [STRes175|STResList175],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN006.xml','./msxsdtest/Group',invalid,S175),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ ?line {STRes176,S176} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN007.xsd','./msxsdtest/Group',valid),
+ STResList177 = [STRes176|STResList176],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN007.xml','./msxsdtest/Group',valid,S176),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes177,S177} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN008.xsd','./msxsdtest/Group',valid),
+ STResList178 = [STRes177|STResList177],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN008.xml','./msxsdtest/Group',invalid,S177),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes178,S178} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN009.xsd','./msxsdtest/Group',valid),
+ STResList179 = [STRes178|STResList178],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN009.xml','./msxsdtest/Group',valid,S178),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes179,S179} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN010.xsd','./msxsdtest/Group',valid),
+ STResList180 = [STRes179|STResList179],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN010.xml','./msxsdtest/Group',invalid,S179),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes180,S180} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN011.xsd','./msxsdtest/Group',valid),
+ STResList181 = [STRes180|STResList180],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN011.xml','./msxsdtest/Group',valid,S180),
+ ITResList113 = [ITRes112|ITResList112],
+
+
+ ?line {STRes181,S181} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN012.xsd','./msxsdtest/Group',valid),
+ STResList182 = [STRes181|STResList181],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN012.xml','./msxsdtest/Group',invalid,S181),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes182,S182} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN013.xsd','./msxsdtest/Group',valid),
+ STResList183 = [STRes182|STResList182],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN013.xml','./msxsdtest/Group',invalid,S182),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes183,S183} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN014.xsd','./msxsdtest/Group',valid),
+ STResList184 = [STRes183|STResList183],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN014.xml','./msxsdtest/Group',valid,S183),
+ ITResList116 = [ITRes115|ITResList115],
+
+
+ ?line {STRes184,S184} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN015.xsd','./msxsdtest/Group',valid),
+ STResList185 = [STRes184|STResList184],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN015.xml','./msxsdtest/Group',invalid,S184),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes185,S185} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN016.xsd','./msxsdtest/Group',valid),
+ STResList186 = [STRes185|STResList185],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN016.xml','./msxsdtest/Group',invalid,S185),
+ ITResList118 = [ITRes117|ITResList117],
+
+
+ ?line {STRes186,S186} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN017.xsd','./msxsdtest/Group',valid),
+ STResList187 = [STRes186|STResList186],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN017.xml','./msxsdtest/Group',valid,S186),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes187,S187} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN018.xsd','./msxsdtest/Group',valid),
+ STResList188 = [STRes187|STResList187],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN018.xml','./msxsdtest/Group',valid,S187),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes188,S188} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN019.xsd','./msxsdtest/Group',valid),
+ STResList189 = [STRes188|STResList188],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN019.xml','./msxsdtest/Group',invalid,S188),
+ ITResList121 = [ITRes120|ITResList120],
+
+
+ ?line {STRes189,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN020.xsd','./msxsdtest/Group',invalid),
+ STResList190 = [STRes189|STResList189],
+
+
+ ?line {STRes190,S190} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN021.xsd','./msxsdtest/Group',valid),
+ STResList191 = [STRes190|STResList190],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupN021.xml','./msxsdtest/Group',valid,S190),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes191,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN022.xsd','./msxsdtest/Group',invalid),
+ STResList192 = [STRes191|STResList191],
+
+
+ ?line {STRes192,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN023.xsd','./msxsdtest/Group',invalid),
+ STResList193 = [STRes192|STResList192],
+
+
+ ?line {STRes193,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN024.xsd','./msxsdtest/Group',invalid),
+ STResList194 = [STRes193|STResList193],
+
+
+ ?line {STRes194,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupN025.xsd','./msxsdtest/Group',invalid),
+ STResList195 = [STRes194|STResList194],
+
+
+ ?line {STRes195,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO001.xsd','./msxsdtest/Group',valid),
+ STResList196 = [STRes195|STResList195],
+
+
+ ?line {STRes196,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO002.xsd','./msxsdtest/Group',invalid),
+ STResList197 = [STRes196|STResList196],
+
+
+ ?line {STRes197,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO003.xsd','./msxsdtest/Group',invalid),
+ STResList198 = [STRes197|STResList197],
+
+
+ ?line {STRes198,S198} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO004.xsd','./msxsdtest/Group',valid),
+ STResList199 = [STRes198|STResList198],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupO004.xml','./msxsdtest/Group',valid,S198),
+ ITResList123 = [ITRes122|ITResList122],
+
+
+ ?line {STRes199,S199} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO005.xsd','./msxsdtest/Group',valid),
+ STResList200 = [STRes199|STResList199],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupO005.xml','./msxsdtest/Group',invalid,S199),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes200,S200} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO006.xsd','./msxsdtest/Group',valid),
+ STResList201 = [STRes200|STResList200],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupO006.xml','./msxsdtest/Group',valid,S200),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes201,S201} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO007.xsd','./msxsdtest/Group',valid),
+ STResList202 = [STRes201|STResList201],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupO007.xml','./msxsdtest/Group',invalid,S201),
+ ITResList126 = [ITRes125|ITResList125],
+
+
+ ?line {STRes202,S202} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO008.xsd','./msxsdtest/Group',valid),
+ STResList203 = [STRes202|STResList202],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupO008.xml','./msxsdtest/Group',valid,S202),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ ?line {STRes203,S203} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO009.xsd','./msxsdtest/Group',valid),
+ STResList204 = [STRes203|STResList203],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Group/groupO009.xml','./msxsdtest/Group',invalid,S203),
+ ITResList128 = [ITRes127|ITResList127],
+
+
+ ?line {STRes204,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO010.xsd','./msxsdtest/Group',invalid),
+ STResList205 = [STRes204|STResList204],
+
+
+ ?line {STRes205,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO011.xsd','./msxsdtest/Group',invalid),
+ STResList206 = [STRes205|STResList205],
+
+
+ ?line {STRes206,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO012.xsd','./msxsdtest/Group',invalid),
+ STResList207 = [STRes206|STResList206],
+
+
+ ?line {STRes207,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO013.xsd','./msxsdtest/Group',invalid),
+ STResList208 = [STRes207|STResList207],
+
+
+ ?line {STRes208,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO014.xsd','./msxsdtest/Group',valid),
+ STResList209 = [STRes208|STResList208],
+
+
+ ?line {STRes209,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO015.xsd','./msxsdtest/Group',invalid),
+ STResList210 = [STRes209|STResList209],
+
+
+ ?line {STRes210,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO016.xsd','./msxsdtest/Group',invalid),
+ STResList211 = [STRes210|STResList210],
+
+
+ ?line {STRes211,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO017.xsd','./msxsdtest/Group',invalid),
+ STResList212 = [STRes211|STResList211],
+
+
+ ?line {STRes212,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO018.xsd','./msxsdtest/Group',invalid),
+ STResList213 = [STRes212|STResList212],
+
+
+ ?line {STRes213,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO019.xsd','./msxsdtest/Group',invalid),
+ STResList214 = [STRes213|STResList213],
+
+
+ ?line {STRes214,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO020.xsd','./msxsdtest/Group',invalid),
+ STResList215 = [STRes214|STResList214],
+
+
+ ?line {STRes215,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO021.xsd','./msxsdtest/Group',invalid),
+ STResList216 = [STRes215|STResList215],
+
+
+ ?line {STRes216,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO022.xsd','./msxsdtest/Group',invalid),
+ STResList217 = [STRes216|STResList216],
+
+
+ ?line {STRes217,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO023.xsd','./msxsdtest/Group',invalid),
+ STResList218 = [STRes217|STResList217],
+
+
+ ?line {STRes218,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO024.xsd','./msxsdtest/Group',invalid),
+ STResList219 = [STRes218|STResList218],
+
+
+ ?line {STRes219,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO025.xsd','./msxsdtest/Group',invalid),
+ STResList220 = [STRes219|STResList219],
+
+
+ ?line {STRes220,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO026.xsd','./msxsdtest/Group',invalid),
+ STResList221 = [STRes220|STResList220],
+
+
+ ?line {STRes221,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Group/groupO027.xsd','./msxsdtest/Group',invalid),
+ STResList222 = [STRes221|STResList221],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList222,ITResList128).
+
+%% 3.11.1 The Identity-constraint Definition Schema Component.
+
+idc_(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_language.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S6),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S7),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S8),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S9),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S10),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S11),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S12),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S13),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S14),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S15),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S16),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S17),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S18),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S19),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S20),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S21),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S22),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S23),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S24),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S25),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S26),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S27),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S28),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S29),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S30),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S31),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S32),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_time.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S33),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S34),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S35),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S36),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S37),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S38),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_string_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S39),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S40),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S41),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S42),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_language.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S43),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S44),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes45,S45} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList46 = [STRes45|STResList45],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S45),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S46),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S47),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S48),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S49),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S50),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S51),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S52),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes53,S53} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList54 = [STRes53|STResList53],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S53),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes54,S54} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList55 = [STRes54|STResList54],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S54),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes55,S55} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList56 = [STRes55|STResList55],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S55),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes56,S56} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList57 = [STRes56|STResList56],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S56),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S57),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes58,S58} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList59 = [STRes58|STResList58],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S58),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S59),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S60),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes61,S61} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList62 = [STRes61|STResList61],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S61),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S62),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S63),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S64),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S65),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S66),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes67,S67} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList68 = [STRes67|STResList67],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S67),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S68),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes69,S69} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList70 = [STRes69|STResList69],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S69),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes70,S70} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList71 = [STRes70|STResList70],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S70),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes71,S71} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList72 = [STRes71|STResList71],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S71),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes72,S72} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList73 = [STRes72|STResList72],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S72),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes73,S73} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList74 = [STRes73|STResList73],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_time.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S73),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes74,S74} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList75 = [STRes74|STResList74],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S74),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes75,S75} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList76 = [STRes75|STResList75],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S75),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes76,S76} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList77 = [STRes76|STResList76],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S76),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S77),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S78),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes79,S79} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList80 = [STRes79|STResList79],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_normalizedString_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S79),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S80),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes81,S81} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList82 = [STRes81|STResList81],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S81),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes82,S82} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList83 = [STRes82|STResList82],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S82),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes83,S83} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList84 = [STRes83|STResList83],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_language.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S83),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes84,S84} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList85 = [STRes84|STResList84],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S84),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes85,S85} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList86 = [STRes85|STResList85],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S85),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes86,S86} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList87 = [STRes86|STResList86],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S86),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes87,S87} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList88 = [STRes87|STResList87],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S87),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes88,S88} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList89 = [STRes88|STResList88],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S88),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes89,S89} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList90 = [STRes89|STResList89],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S89),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes90,S90} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList91 = [STRes90|STResList90],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S90),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes91,S91} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList92 = [STRes91|STResList91],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S91),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes92,S92} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList93 = [STRes92|STResList92],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S92),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes93,S93} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList94 = [STRes93|STResList93],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S93),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes94,S94} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList95 = [STRes94|STResList94],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S94),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes95,S95} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList96 = [STRes95|STResList95],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S95),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes96,S96} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList97 = [STRes96|STResList96],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S96),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes97,S97} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList98 = [STRes97|STResList97],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S97),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes98,S98} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList99 = [STRes98|STResList98],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S98),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes99,S99} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList100 = [STRes99|STResList99],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S99),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes100,S100} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList101 = [STRes100|STResList100],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S100),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes101,S101} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList102 = [STRes101|STResList101],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S101),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes102,S102} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList103 = [STRes102|STResList102],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S102),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes103,S103} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList104 = [STRes103|STResList103],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S103),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes104,S104} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList105 = [STRes104|STResList104],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S104),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes105,S105} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList106 = [STRes105|STResList105],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S105),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes106,S106} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList107 = [STRes106|STResList106],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S106),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S107),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ ?line {STRes108,S108} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList109 = [STRes108|STResList108],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S108),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes109,S109} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList110 = [STRes109|STResList109],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S109),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes110,S110} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList111 = [STRes110|STResList110],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S110),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes111,S111} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList112 = [STRes111|STResList111],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S111),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes112,S112} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList113 = [STRes112|STResList112],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S112),
+ ITResList113 = [ITRes112|ITResList112],
+
+
+ ?line {STRes113,S113} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList114 = [STRes113|STResList113],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_time.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S113),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes114,S114} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList115 = [STRes114|STResList114],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S114),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes115,S115} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList116 = [STRes115|STResList115],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S115),
+ ITResList116 = [ITRes115|ITResList115],
+
+
+ ?line {STRes116,S116} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList117 = [STRes116|STResList116],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S116),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes117,S117} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList118 = [STRes117|STResList117],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S117),
+ ITResList118 = [ITRes117|ITResList117],
+
+
+ ?line {STRes118,S118} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList119 = [STRes118|STResList118],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S118),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes119,S119} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList120 = [STRes119|STResList119],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_token_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S119),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes120,S120} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList121 = [STRes120|STResList120],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S120),
+ ITResList121 = [ITRes120|ITResList120],
+
+
+ ?line {STRes121,S121} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList122 = [STRes121|STResList121],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S121),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes122,S122} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList123 = [STRes122|STResList122],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S122),
+ ITResList123 = [ITRes122|ITResList122],
+
+
+ ?line {STRes123,S123} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList124 = [STRes123|STResList123],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_language.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S123),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes124,S124} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList125 = [STRes124|STResList124],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S124),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes125,S125} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList126 = [STRes125|STResList125],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S125),
+ ITResList126 = [ITRes125|ITResList125],
+
+
+ ?line {STRes126,S126} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList127 = [STRes126|STResList126],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S126),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ ?line {STRes127,S127} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList128 = [STRes127|STResList127],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S127),
+ ITResList128 = [ITRes127|ITResList127],
+
+
+ ?line {STRes128,S128} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList129 = [STRes128|STResList128],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S128),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes129,S129} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList130 = [STRes129|STResList129],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S129),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ ?line {STRes130,S130} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList131 = [STRes130|STResList130],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S130),
+ ITResList131 = [ITRes130|ITResList130],
+
+
+ ?line {STRes131,S131} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList132 = [STRes131|STResList131],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S131),
+ ITResList132 = [ITRes131|ITResList131],
+
+
+ ?line {STRes132,S132} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList133 = [STRes132|STResList132],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S132),
+ ITResList133 = [ITRes132|ITResList132],
+
+
+ ?line {STRes133,S133} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList134 = [STRes133|STResList133],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_language_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S133),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes134,S134} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList135 = [STRes134|STResList134],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S134),
+ ITResList135 = [ITRes134|ITResList134],
+
+
+ ?line {STRes135,S135} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList136 = [STRes135|STResList135],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S135),
+ ITResList136 = [ITRes135|ITResList135],
+
+
+ ?line {STRes136,S136} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList137 = [STRes136|STResList136],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S136),
+ ITResList137 = [ITRes136|ITResList136],
+
+
+ ?line {STRes137,S137} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList138 = [STRes137|STResList137],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S137),
+ ITResList138 = [ITRes137|ITResList137],
+
+
+ ?line {STRes138,S138} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList139 = [STRes138|STResList138],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S138),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes139,S139} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList140 = [STRes139|STResList139],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S139),
+ ITResList140 = [ITRes139|ITResList139],
+
+
+ ?line {STRes140,S140} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList141 = [STRes140|STResList140],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S140),
+ ITResList141 = [ITRes140|ITResList140],
+
+
+ ?line {STRes141,S141} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList142 = [STRes141|STResList141],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S141),
+ ITResList142 = [ITRes141|ITResList141],
+
+
+ ?line {STRes142,S142} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList143 = [STRes142|STResList142],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S142),
+ ITResList143 = [ITRes142|ITResList142],
+
+
+ ?line {STRes143,S143} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList144 = [STRes143|STResList143],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S143),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes144,S144} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList145 = [STRes144|STResList144],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S144),
+ ITResList145 = [ITRes144|ITResList144],
+
+
+ ?line {STRes145,S145} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList146 = [STRes145|STResList145],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S145),
+ ITResList146 = [ITRes145|ITResList145],
+
+
+ ?line {STRes146,S146} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList147 = [STRes146|STResList146],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S146),
+ ITResList147 = [ITRes146|ITResList146],
+
+
+ ?line {STRes147,S147} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList148 = [STRes147|STResList147],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S147),
+ ITResList148 = [ITRes147|ITResList147],
+
+
+ ?line {STRes148,S148} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList149 = [STRes148|STResList148],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_Name_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S148),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes149,S149} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList150 = [STRes149|STResList149],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S149),
+ ITResList150 = [ITRes149|ITResList149],
+
+
+ ?line {STRes150,S150} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList151 = [STRes150|STResList150],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S150),
+ ITResList151 = [ITRes150|ITResList150],
+
+
+ ?line {STRes151,S151} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList152 = [STRes151|STResList151],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S151),
+ ITResList152 = [ITRes151|ITResList151],
+
+
+ ?line {STRes152,S152} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList153 = [STRes152|STResList152],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S152),
+ ITResList153 = [ITRes152|ITResList152],
+
+
+ ?line {STRes153,S153} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList154 = [STRes153|STResList153],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S153),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes154,S154} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList155 = [STRes154|STResList154],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S154),
+ ITResList155 = [ITRes154|ITResList154],
+
+
+ ?line {STRes155,S155} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList156 = [STRes155|STResList155],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S155),
+ ITResList156 = [ITRes155|ITResList155],
+
+
+ ?line {STRes156,S156} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList157 = [STRes156|STResList156],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S156),
+ ITResList157 = [ITRes156|ITResList156],
+
+
+ ?line {STRes157,S157} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList158 = [STRes157|STResList157],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S157),
+ ITResList158 = [ITRes157|ITResList157],
+
+
+ ?line {STRes158,S158} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList159 = [STRes158|STResList158],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S158),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes159,S159} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList160 = [STRes159|STResList159],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S159),
+ ITResList160 = [ITRes159|ITResList159],
+
+
+ ?line {STRes160,S160} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList161 = [STRes160|STResList160],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S160),
+ ITResList161 = [ITRes160|ITResList160],
+
+
+ ?line {STRes161,S161} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList162 = [STRes161|STResList161],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S161),
+ ITResList162 = [ITRes161|ITResList161],
+
+
+ ?line {STRes162,S162} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList163 = [STRes162|STResList162],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S162),
+ ITResList163 = [ITRes162|ITResList162],
+
+
+ ?line {STRes163,S163} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList164 = [STRes163|STResList163],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NCName_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S163),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes164,S164} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList165 = [STRes164|STResList164],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S164),
+ ITResList165 = [ITRes164|ITResList164],
+
+
+ ?line {STRes165,S165} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList166 = [STRes165|STResList165],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S165),
+ ITResList166 = [ITRes165|ITResList165],
+
+
+ ?line {STRes166,S166} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList167 = [STRes166|STResList166],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S166),
+ ITResList167 = [ITRes166|ITResList166],
+
+
+ ?line {STRes167,S167} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList168 = [STRes167|STResList167],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S167),
+ ITResList168 = [ITRes167|ITResList167],
+
+
+ ?line {STRes168,S168} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList169 = [STRes168|STResList168],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S168),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ ?line {STRes169,S169} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList170 = [STRes169|STResList169],
+ ?line ITRes169 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S169),
+ ITResList170 = [ITRes169|ITResList169],
+
+
+ ?line {STRes170,S170} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList171 = [STRes170|STResList170],
+ ?line ITRes170 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S170),
+ ITResList171 = [ITRes170|ITResList170],
+
+
+ ?line {STRes171,S171} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList172 = [STRes171|STResList171],
+ ?line ITRes171 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S171),
+ ITResList172 = [ITRes171|ITResList171],
+
+
+ ?line {STRes172,S172} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList173 = [STRes172|STResList172],
+ ?line ITRes172 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S172),
+ ITResList173 = [ITRes172|ITResList172],
+
+
+ ?line {STRes173,S173} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList174 = [STRes173|STResList173],
+ ?line ITRes173 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S173),
+ ITResList174 = [ITRes173|ITResList173],
+
+
+ ?line {STRes174,S174} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList175 = [STRes174|STResList174],
+ ?line ITRes174 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S174),
+ ITResList175 = [ITRes174|ITResList174],
+
+
+ ?line {STRes175,S175} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList176 = [STRes175|STResList175],
+ ?line ITRes175 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S175),
+ ITResList176 = [ITRes175|ITResList175],
+
+
+ ?line {STRes176,S176} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList177 = [STRes176|STResList176],
+ ?line ITRes176 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S176),
+ ITResList177 = [ITRes176|ITResList176],
+
+
+ ?line {STRes177,S177} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList178 = [STRes177|STResList177],
+ ?line ITRes177 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S177),
+ ITResList178 = [ITRes177|ITResList177],
+
+
+ ?line {STRes178,S178} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList179 = [STRes178|STResList178],
+ ?line ITRes178 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S178),
+ ITResList179 = [ITRes178|ITResList178],
+
+
+ ?line {STRes179,S179} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList180 = [STRes179|STResList179],
+ ?line ITRes179 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_ID_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S179),
+ ITResList180 = [ITRes179|ITResList179],
+
+
+ ?line {STRes180,S180} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList181 = [STRes180|STResList180],
+ ?line ITRes180 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S180),
+ ITResList181 = [ITRes180|ITResList180],
+
+
+ ?line {STRes181,S181} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList182 = [STRes181|STResList181],
+ ?line ITRes181 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S181),
+ ITResList182 = [ITRes181|ITResList181],
+
+
+ ?line {STRes182,S182} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList183 = [STRes182|STResList182],
+ ?line ITRes182 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S182),
+ ITResList183 = [ITRes182|ITResList182],
+
+
+ ?line {STRes183,S183} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList184 = [STRes183|STResList183],
+ ?line ITRes183 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S183),
+ ITResList184 = [ITRes183|ITResList183],
+
+
+ ?line {STRes184,S184} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList185 = [STRes184|STResList184],
+ ?line ITRes184 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S184),
+ ITResList185 = [ITRes184|ITResList184],
+
+
+ ?line {STRes185,S185} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList186 = [STRes185|STResList185],
+ ?line ITRes185 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S185),
+ ITResList186 = [ITRes185|ITResList185],
+
+
+ ?line {STRes186,S186} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList187 = [STRes186|STResList186],
+ ?line ITRes186 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S186),
+ ITResList187 = [ITRes186|ITResList186],
+
+
+ ?line {STRes187,S187} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList188 = [STRes187|STResList187],
+ ?line ITRes187 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S187),
+ ITResList188 = [ITRes187|ITResList187],
+
+
+ ?line {STRes188,S188} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList189 = [STRes188|STResList188],
+ ?line ITRes188 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S188),
+ ITResList189 = [ITRes188|ITResList188],
+
+
+ ?line {STRes189,S189} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList190 = [STRes189|STResList189],
+ ?line ITRes189 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S189),
+ ITResList190 = [ITRes189|ITResList189],
+
+
+ ?line {STRes190,S190} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList191 = [STRes190|STResList190],
+ ?line ITRes190 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S190),
+ ITResList191 = [ITRes190|ITResList190],
+
+
+ ?line {STRes191,S191} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList192 = [STRes191|STResList191],
+ ?line ITRes191 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S191),
+ ITResList192 = [ITRes191|ITResList191],
+
+
+ ?line {STRes192,S192} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList193 = [STRes192|STResList192],
+ ?line ITRes192 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S192),
+ ITResList193 = [ITRes192|ITResList192],
+
+
+ ?line {STRes193,S193} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList194 = [STRes193|STResList193],
+ ?line ITRes193 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S193),
+ ITResList194 = [ITRes193|ITResList193],
+
+
+ ?line {STRes194,S194} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList195 = [STRes194|STResList194],
+ ?line ITRes194 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREF_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S194),
+ ITResList195 = [ITRes194|ITResList194],
+
+
+ ?line {STRes195,S195} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList196 = [STRes195|STResList195],
+ ?line ITRes195 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S195),
+ ITResList196 = [ITRes195|ITResList195],
+
+
+ ?line {STRes196,S196} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList197 = [STRes196|STResList196],
+ ?line ITRes196 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S196),
+ ITResList197 = [ITRes196|ITResList196],
+
+
+ ?line {STRes197,S197} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList198 = [STRes197|STResList197],
+ ?line ITRes197 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S197),
+ ITResList198 = [ITRes197|ITResList197],
+
+
+ ?line {STRes198,S198} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList199 = [STRes198|STResList198],
+ ?line ITRes198 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S198),
+ ITResList199 = [ITRes198|ITResList198],
+
+
+ ?line {STRes199,S199} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList200 = [STRes199|STResList199],
+ ?line ITRes199 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S199),
+ ITResList200 = [ITRes199|ITResList199],
+
+
+ ?line {STRes200,S200} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList201 = [STRes200|STResList200],
+ ?line ITRes200 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S200),
+ ITResList201 = [ITRes200|ITResList200],
+
+
+ ?line {STRes201,S201} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList202 = [STRes201|STResList201],
+ ?line ITRes201 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S201),
+ ITResList202 = [ITRes201|ITResList201],
+
+
+ ?line {STRes202,S202} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList203 = [STRes202|STResList202],
+ ?line ITRes202 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S202),
+ ITResList203 = [ITRes202|ITResList202],
+
+
+ ?line {STRes203,S203} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList204 = [STRes203|STResList203],
+ ?line ITRes203 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_IDREFS_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S203),
+ ITResList204 = [ITRes203|ITResList203],
+
+
+ ?line {STRes204,S204} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList205 = [STRes204|STResList204],
+ ?line ITRes204 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_string.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S204),
+ ITResList205 = [ITRes204|ITResList204],
+
+
+ ?line {STRes205,S205} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList206 = [STRes205|STResList205],
+ ?line ITRes205 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S205),
+ ITResList206 = [ITRes205|ITResList205],
+
+
+ ?line {STRes206,S206} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList207 = [STRes206|STResList206],
+ ?line ITRes206 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_token.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S206),
+ ITResList207 = [ITRes206|ITResList206],
+
+
+ ?line {STRes207,S207} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList208 = [STRes207|STResList207],
+ ?line ITRes207 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S207),
+ ITResList208 = [ITRes207|ITResList207],
+
+
+ ?line {STRes208,S208} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList209 = [STRes208|STResList208],
+ ?line ITRes208 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S208),
+ ITResList209 = [ITRes208|ITResList208],
+
+
+ ?line {STRes209,S209} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList210 = [STRes209|STResList209],
+ ?line ITRes209 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S209),
+ ITResList210 = [ITRes209|ITResList209],
+
+
+ ?line {STRes210,S210} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList211 = [STRes210|STResList210],
+ ?line ITRes210 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S210),
+ ITResList211 = [ITRes210|ITResList210],
+
+
+ ?line {STRes211,S211} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList212 = [STRes211|STResList211],
+ ?line ITRes211 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S211),
+ ITResList212 = [ITRes211|ITResList211],
+
+
+ ?line {STRes212,S212} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList213 = [STRes212|STResList212],
+ ?line ITRes212 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S212),
+ ITResList213 = [ITRes212|ITResList212],
+
+
+ ?line {STRes213,S213} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList214 = [STRes213|STResList213],
+ ?line ITRes213 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S213),
+ ITResList214 = [ITRes213|ITResList213],
+
+
+ ?line {STRes214,S214} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList215 = [STRes214|STResList214],
+ ?line ITRes214 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S214),
+ ITResList215 = [ITRes214|ITResList214],
+
+
+ ?line {STRes215,S215} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList216 = [STRes215|STResList215],
+ ?line ITRes215 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S215),
+ ITResList216 = [ITRes215|ITResList215],
+
+
+ ?line {STRes216,S216} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList217 = [STRes216|STResList216],
+ ?line ITRes216 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S216),
+ ITResList217 = [ITRes216|ITResList216],
+
+
+ ?line {STRes217,S217} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList218 = [STRes217|STResList217],
+ ?line ITRes217 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S217),
+ ITResList218 = [ITRes217|ITResList217],
+
+
+ ?line {STRes218,S218} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList219 = [STRes218|STResList218],
+ ?line ITRes218 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S218),
+ ITResList219 = [ITRes218|ITResList218],
+
+
+ ?line {STRes219,S219} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList220 = [STRes219|STResList219],
+ ?line ITRes219 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S219),
+ ITResList220 = [ITRes219|ITResList219],
+
+
+ ?line {STRes220,S220} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList221 = [STRes220|STResList220],
+ ?line ITRes220 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S220),
+ ITResList221 = [ITRes220|ITResList220],
+
+
+ ?line {STRes221,S221} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList222 = [STRes221|STResList221],
+ ?line ITRes221 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S221),
+ ITResList222 = [ITRes221|ITResList221],
+
+
+ ?line {STRes222,S222} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList223 = [STRes222|STResList222],
+ ?line ITRes222 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S222),
+ ITResList223 = [ITRes222|ITResList222],
+
+
+ ?line {STRes223,S223} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList224 = [STRes223|STResList223],
+ ?line ITRes223 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S223),
+ ITResList224 = [ITRes223|ITResList223],
+
+
+ ?line {STRes224,S224} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList225 = [STRes224|STResList224],
+ ?line ITRes224 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S224),
+ ITResList225 = [ITRes224|ITResList224],
+
+
+ ?line {STRes225,S225} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList226 = [STRes225|STResList225],
+ ?line ITRes225 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S225),
+ ITResList226 = [ITRes225|ITResList225],
+
+
+ ?line {STRes226,S226} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList227 = [STRes226|STResList226],
+ ?line ITRes226 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S226),
+ ITResList227 = [ITRes226|ITResList226],
+
+
+ ?line {STRes227,S227} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList228 = [STRes227|STResList227],
+ ?line ITRes227 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S227),
+ ITResList228 = [ITRes227|ITResList227],
+
+
+ ?line {STRes228,S228} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList229 = [STRes228|STResList228],
+ ?line ITRes228 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S228),
+ ITResList229 = [ITRes228|ITResList228],
+
+
+ ?line {STRes229,S229} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList230 = [STRes229|STResList229],
+ ?line ITRes229 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S229),
+ ITResList230 = [ITRes229|ITResList229],
+
+
+ ?line {STRes230,S230} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList231 = [STRes230|STResList230],
+ ?line ITRes230 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S230),
+ ITResList231 = [ITRes230|ITResList230],
+
+
+ ?line {STRes231,S231} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList232 = [STRes231|STResList231],
+ ?line ITRes231 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S231),
+ ITResList232 = [ITRes231|ITResList231],
+
+
+ ?line {STRes232,S232} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList233 = [STRes232|STResList232],
+ ?line ITRes232 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S232),
+ ITResList233 = [ITRes232|ITResList232],
+
+
+ ?line {STRes233,S233} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList234 = [STRes233|STResList233],
+ ?line ITRes233 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S233),
+ ITResList234 = [ITRes233|ITResList233],
+
+
+ ?line {STRes234,S234} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList235 = [STRes234|STResList234],
+ ?line ITRes234 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S234),
+ ITResList235 = [ITRes234|ITResList234],
+
+
+ ?line {STRes235,S235} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList236 = [STRes235|STResList235],
+ ?line ITRes235 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S235),
+ ITResList236 = [ITRes235|ITResList235],
+
+
+ ?line {STRes236,S236} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList237 = [STRes236|STResList236],
+ ?line ITRes236 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S236),
+ ITResList237 = [ITRes236|ITResList236],
+
+
+ ?line {STRes237,S237} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList238 = [STRes237|STResList237],
+ ?line ITRes237 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S237),
+ ITResList238 = [ITRes237|ITResList237],
+
+
+ ?line {STRes238,S238} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList239 = [STRes238|STResList238],
+ ?line ITRes238 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_time.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S238),
+ ITResList239 = [ITRes238|ITResList238],
+
+
+ ?line {STRes239,S239} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList240 = [STRes239|STResList239],
+ ?line ITRes239 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S239),
+ ITResList240 = [ITRes239|ITResList239],
+
+
+ ?line {STRes240,S240} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList241 = [STRes240|STResList240],
+ ?line ITRes240 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S240),
+ ITResList241 = [ITRes240|ITResList240],
+
+
+ ?line {STRes241,S241} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList242 = [STRes241|STResList241],
+ ?line ITRes241 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S241),
+ ITResList242 = [ITRes241|ITResList241],
+
+
+ ?line {STRes242,S242} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList243 = [STRes242|STResList242],
+ ?line ITRes242 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S242),
+ ITResList243 = [ITRes242|ITResList242],
+
+
+ ?line {STRes243,S243} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList244 = [STRes243|STResList243],
+ ?line ITRes243 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S243),
+ ITResList244 = [ITRes243|ITResList243],
+
+
+ ?line {STRes244,S244} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList245 = [STRes244|STResList244],
+ ?line ITRes244 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKEN_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S244),
+ ITResList245 = [ITRes244|ITResList244],
+
+
+ ?line {STRes245,S245} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList246 = [STRes245|STResList245],
+ ?line ITRes245 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S245),
+ ITResList246 = [ITRes245|ITResList245],
+
+
+ ?line {STRes246,S246} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList247 = [STRes246|STResList246],
+ ?line ITRes246 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S246),
+ ITResList247 = [ITRes246|ITResList246],
+
+
+ ?line {STRes247,S247} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList248 = [STRes247|STResList247],
+ ?line ITRes247 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S247),
+ ITResList248 = [ITRes247|ITResList247],
+
+
+ ?line {STRes248,S248} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList249 = [STRes248|STResList248],
+ ?line ITRes248 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S248),
+ ITResList249 = [ITRes248|ITResList248],
+
+
+ ?line {STRes249,S249} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList250 = [STRes249|STResList249],
+ ?line ITRes249 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S249),
+ ITResList250 = [ITRes249|ITResList249],
+
+
+ ?line {STRes250,S250} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList251 = [STRes250|STResList250],
+ ?line ITRes250 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S250),
+ ITResList251 = [ITRes250|ITResList250],
+
+
+ ?line {STRes251,S251} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList252 = [STRes251|STResList251],
+ ?line ITRes251 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S251),
+ ITResList252 = [ITRes251|ITResList251],
+
+
+ ?line {STRes252,S252} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList253 = [STRes252|STResList252],
+ ?line ITRes252 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S252),
+ ITResList253 = [ITRes252|ITResList252],
+
+
+ ?line {STRes253,S253} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList254 = [STRes253|STResList253],
+ ?line ITRes253 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S253),
+ ITResList254 = [ITRes253|ITResList253],
+
+
+ ?line {STRes254,S254} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList255 = [STRes254|STResList254],
+ ?line ITRes254 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S254),
+ ITResList255 = [ITRes254|ITResList254],
+
+
+ ?line {STRes255,S255} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList256 = [STRes255|STResList255],
+ ?line ITRes255 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S255),
+ ITResList256 = [ITRes255|ITResList255],
+
+
+ ?line {STRes256,S256} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList257 = [STRes256|STResList256],
+ ?line ITRes256 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S256),
+ ITResList257 = [ITRes256|ITResList256],
+
+
+ ?line {STRes257,S257} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList258 = [STRes257|STResList257],
+ ?line ITRes257 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S257),
+ ITResList258 = [ITRes257|ITResList257],
+
+
+ ?line {STRes258,S258} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList259 = [STRes258|STResList258],
+ ?line ITRes258 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S258),
+ ITResList259 = [ITRes258|ITResList258],
+
+
+ ?line {STRes259,S259} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList260 = [STRes259|STResList259],
+ ?line ITRes259 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S259),
+ ITResList260 = [ITRes259|ITResList259],
+
+
+ ?line {STRes260,S260} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList261 = [STRes260|STResList260],
+ ?line ITRes260 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S260),
+ ITResList261 = [ITRes260|ITResList260],
+
+
+ ?line {STRes261,S261} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList262 = [STRes261|STResList261],
+ ?line ITRes261 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S261),
+ ITResList262 = [ITRes261|ITResList261],
+
+
+ ?line {STRes262,S262} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList263 = [STRes262|STResList262],
+ ?line ITRes262 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S262),
+ ITResList263 = [ITRes262|ITResList262],
+
+
+ ?line {STRes263,S263} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList264 = [STRes263|STResList263],
+ ?line ITRes263 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S263),
+ ITResList264 = [ITRes263|ITResList263],
+
+
+ ?line {STRes264,S264} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList265 = [STRes264|STResList264],
+ ?line ITRes264 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S264),
+ ITResList265 = [ITRes264|ITResList264],
+
+
+ ?line {STRes265,S265} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList266 = [STRes265|STResList265],
+ ?line ITRes265 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S265),
+ ITResList266 = [ITRes265|ITResList265],
+
+
+ ?line {STRes266,S266} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList267 = [STRes266|STResList266],
+ ?line ITRes266 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S266),
+ ITResList267 = [ITRes266|ITResList266],
+
+
+ ?line {STRes267,S267} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList268 = [STRes267|STResList267],
+ ?line ITRes267 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S267),
+ ITResList268 = [ITRes267|ITResList267],
+
+
+ ?line {STRes268,S268} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList269 = [STRes268|STResList268],
+ ?line ITRes268 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S268),
+ ITResList269 = [ITRes268|ITResList268],
+
+
+ ?line {STRes269,S269} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList270 = [STRes269|STResList269],
+ ?line ITRes269 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S269),
+ ITResList270 = [ITRes269|ITResList269],
+
+
+ ?line {STRes270,S270} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList271 = [STRes270|STResList270],
+ ?line ITRes270 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S270),
+ ITResList271 = [ITRes270|ITResList270],
+
+
+ ?line {STRes271,S271} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList272 = [STRes271|STResList271],
+ ?line ITRes271 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S271),
+ ITResList272 = [ITRes271|ITResList271],
+
+
+ ?line {STRes272,S272} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList273 = [STRes272|STResList272],
+ ?line ITRes272 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S272),
+ ITResList273 = [ITRes272|ITResList272],
+
+
+ ?line {STRes273,S273} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList274 = [STRes273|STResList273],
+ ?line ITRes273 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S273),
+ ITResList274 = [ITRes273|ITResList273],
+
+
+ ?line {STRes274,S274} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList275 = [STRes274|STResList274],
+ ?line ITRes274 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S274),
+ ITResList275 = [ITRes274|ITResList274],
+
+
+ ?line {STRes275,S275} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList276 = [STRes275|STResList275],
+ ?line ITRes275 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_time.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S275),
+ ITResList276 = [ITRes275|ITResList275],
+
+
+ ?line {STRes276,S276} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList277 = [STRes276|STResList276],
+ ?line ITRes276 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S276),
+ ITResList277 = [ITRes276|ITResList276],
+
+
+ ?line {STRes277,S277} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList278 = [STRes277|STResList277],
+ ?line ITRes277 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S277),
+ ITResList278 = [ITRes277|ITResList277],
+
+
+ ?line {STRes278,S278} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList279 = [STRes278|STResList278],
+ ?line ITRes278 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S278),
+ ITResList279 = [ITRes278|ITResList278],
+
+
+ ?line {STRes279,S279} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList280 = [STRes279|STResList279],
+ ?line ITRes279 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S279),
+ ITResList280 = [ITRes279|ITResList279],
+
+
+ ?line {STRes280,S280} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList281 = [STRes280|STResList280],
+ ?line ITRes280 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S280),
+ ITResList281 = [ITRes280|ITResList280],
+
+
+ ?line {STRes281,S281} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList282 = [STRes281|STResList281],
+ ?line ITRes281 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NMTOKENS_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S281),
+ ITResList282 = [ITRes281|ITResList281],
+
+
+ ?line {STRes282,S282} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList283 = [STRes282|STResList282],
+ ?line ITRes282 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S282),
+ ITResList283 = [ITRes282|ITResList282],
+
+
+ ?line {STRes283,S283} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList284 = [STRes283|STResList283],
+ ?line ITRes283 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S283),
+ ITResList284 = [ITRes283|ITResList283],
+
+
+ ?line {STRes284,S284} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList285 = [STRes284|STResList284],
+ ?line ITRes284 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S284),
+ ITResList285 = [ITRes284|ITResList284],
+
+
+ ?line {STRes285,S285} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList286 = [STRes285|STResList285],
+ ?line ITRes285 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S285),
+ ITResList286 = [ITRes285|ITResList285],
+
+
+ ?line {STRes286,S286} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList287 = [STRes286|STResList286],
+ ?line ITRes286 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S286),
+ ITResList287 = [ITRes286|ITResList286],
+
+
+ ?line {STRes287,S287} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList288 = [STRes287|STResList287],
+ ?line ITRes287 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S287),
+ ITResList288 = [ITRes287|ITResList287],
+
+
+ ?line {STRes288,S288} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList289 = [STRes288|STResList288],
+ ?line ITRes288 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S288),
+ ITResList289 = [ITRes288|ITResList288],
+
+
+ ?line {STRes289,S289} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList290 = [STRes289|STResList289],
+ ?line ITRes289 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S289),
+ ITResList290 = [ITRes289|ITResList289],
+
+
+ ?line {STRes290,S290} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList291 = [STRes290|STResList290],
+ ?line ITRes290 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S290),
+ ITResList291 = [ITRes290|ITResList290],
+
+
+ ?line {STRes291,S291} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList292 = [STRes291|STResList291],
+ ?line ITRes291 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S291),
+ ITResList292 = [ITRes291|ITResList291],
+
+
+ ?line {STRes292,S292} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList293 = [STRes292|STResList292],
+ ?line ITRes292 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S292),
+ ITResList293 = [ITRes292|ITResList292],
+
+
+ ?line {STRes293,S293} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList294 = [STRes293|STResList293],
+ ?line ITRes293 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S293),
+ ITResList294 = [ITRes293|ITResList293],
+
+
+ ?line {STRes294,S294} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList295 = [STRes294|STResList294],
+ ?line ITRes294 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S294),
+ ITResList295 = [ITRes294|ITResList294],
+
+
+ ?line {STRes295,S295} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList296 = [STRes295|STResList295],
+ ?line ITRes295 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S295),
+ ITResList296 = [ITRes295|ITResList295],
+
+
+ ?line {STRes296,S296} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList297 = [STRes296|STResList296],
+ ?line ITRes296 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S296),
+ ITResList297 = [ITRes296|ITResList296],
+
+
+ ?line {STRes297,S297} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList298 = [STRes297|STResList297],
+ ?line ITRes297 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S297),
+ ITResList298 = [ITRes297|ITResList297],
+
+
+ ?line {STRes298,S298} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList299 = [STRes298|STResList298],
+ ?line ITRes298 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S298),
+ ITResList299 = [ITRes298|ITResList298],
+
+
+ ?line {STRes299,S299} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList300 = [STRes299|STResList299],
+ ?line ITRes299 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S299),
+ ITResList300 = [ITRes299|ITResList299],
+
+
+ ?line {STRes300,S300} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList301 = [STRes300|STResList300],
+ ?line ITRes300 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S300),
+ ITResList301 = [ITRes300|ITResList300],
+
+
+ ?line {STRes301,S301} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList302 = [STRes301|STResList301],
+ ?line ITRes301 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S301),
+ ITResList302 = [ITRes301|ITResList301],
+
+
+ ?line {STRes302,S302} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList303 = [STRes302|STResList302],
+ ?line ITRes302 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S302),
+ ITResList303 = [ITRes302|ITResList302],
+
+
+ ?line {STRes303,S303} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList304 = [STRes303|STResList303],
+ ?line ITRes303 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S303),
+ ITResList304 = [ITRes303|ITResList303],
+
+
+ ?line {STRes304,S304} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList305 = [STRes304|STResList304],
+ ?line ITRes304 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S304),
+ ITResList305 = [ITRes304|ITResList304],
+
+
+ ?line {STRes305,S305} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList306 = [STRes305|STResList305],
+ ?line ITRes305 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S305),
+ ITResList306 = [ITRes305|ITResList305],
+
+
+ ?line {STRes306,S306} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList307 = [STRes306|STResList306],
+ ?line ITRes306 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S306),
+ ITResList307 = [ITRes306|ITResList306],
+
+
+ ?line {STRes307,S307} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList308 = [STRes307|STResList307],
+ ?line ITRes307 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S307),
+ ITResList308 = [ITRes307|ITResList307],
+
+
+ ?line {STRes308,S308} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList309 = [STRes308|STResList308],
+ ?line ITRes308 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S308),
+ ITResList309 = [ITRes308|ITResList308],
+
+
+ ?line {STRes309,S309} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList310 = [STRes309|STResList309],
+ ?line ITRes309 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S309),
+ ITResList310 = [ITRes309|ITResList309],
+
+
+ ?line {STRes310,S310} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList311 = [STRes310|STResList310],
+ ?line ITRes310 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S310),
+ ITResList311 = [ITRes310|ITResList310],
+
+
+ ?line {STRes311,S311} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList312 = [STRes311|STResList311],
+ ?line ITRes311 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S311),
+ ITResList312 = [ITRes311|ITResList311],
+
+
+ ?line {STRes312,S312} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList313 = [STRes312|STResList312],
+ ?line ITRes312 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_boolean_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S312),
+ ITResList313 = [ITRes312|ITResList312],
+
+
+ ?line {STRes313,S313} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList314 = [STRes313|STResList313],
+ ?line ITRes313 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S313),
+ ITResList314 = [ITRes313|ITResList313],
+
+
+ ?line {STRes314,S314} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList315 = [STRes314|STResList314],
+ ?line ITRes314 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S314),
+ ITResList315 = [ITRes314|ITResList314],
+
+
+ ?line {STRes315,S315} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList316 = [STRes315|STResList315],
+ ?line ITRes315 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S315),
+ ITResList316 = [ITRes315|ITResList315],
+
+
+ ?line {STRes316,S316} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList317 = [STRes316|STResList316],
+ ?line ITRes316 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S316),
+ ITResList317 = [ITRes316|ITResList316],
+
+
+ ?line {STRes317,S317} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList318 = [STRes317|STResList317],
+ ?line ITRes317 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S317),
+ ITResList318 = [ITRes317|ITResList317],
+
+
+ ?line {STRes318,S318} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList319 = [STRes318|STResList318],
+ ?line ITRes318 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S318),
+ ITResList319 = [ITRes318|ITResList318],
+
+
+ ?line {STRes319,S319} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList320 = [STRes319|STResList319],
+ ?line ITRes319 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S319),
+ ITResList320 = [ITRes319|ITResList319],
+
+
+ ?line {STRes320,S320} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList321 = [STRes320|STResList320],
+ ?line ITRes320 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S320),
+ ITResList321 = [ITRes320|ITResList320],
+
+
+ ?line {STRes321,S321} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList322 = [STRes321|STResList321],
+ ?line ITRes321 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S321),
+ ITResList322 = [ITRes321|ITResList321],
+
+
+ ?line {STRes322,S322} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList323 = [STRes322|STResList322],
+ ?line ITRes322 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S322),
+ ITResList323 = [ITRes322|ITResList322],
+
+
+ ?line {STRes323,S323} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList324 = [STRes323|STResList323],
+ ?line ITRes323 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S323),
+ ITResList324 = [ITRes323|ITResList323],
+
+
+ ?line {STRes324,S324} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList325 = [STRes324|STResList324],
+ ?line ITRes324 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S324),
+ ITResList325 = [ITRes324|ITResList324],
+
+
+ ?line {STRes325,S325} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList326 = [STRes325|STResList325],
+ ?line ITRes325 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S325),
+ ITResList326 = [ITRes325|ITResList325],
+
+
+ ?line {STRes326,S326} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList327 = [STRes326|STResList326],
+ ?line ITRes326 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S326),
+ ITResList327 = [ITRes326|ITResList326],
+
+
+ ?line {STRes327,S327} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList328 = [STRes327|STResList327],
+ ?line ITRes327 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S327),
+ ITResList328 = [ITRes327|ITResList327],
+
+
+ ?line {STRes328,S328} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList329 = [STRes328|STResList328],
+ ?line ITRes328 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S328),
+ ITResList329 = [ITRes328|ITResList328],
+
+
+ ?line {STRes329,S329} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList330 = [STRes329|STResList329],
+ ?line ITRes329 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S329),
+ ITResList330 = [ITRes329|ITResList329],
+
+
+ ?line {STRes330,S330} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList331 = [STRes330|STResList330],
+ ?line ITRes330 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S330),
+ ITResList331 = [ITRes330|ITResList330],
+
+
+ ?line {STRes331,S331} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList332 = [STRes331|STResList331],
+ ?line ITRes331 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S331),
+ ITResList332 = [ITRes331|ITResList331],
+
+
+ ?line {STRes332,S332} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList333 = [STRes332|STResList332],
+ ?line ITRes332 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S332),
+ ITResList333 = [ITRes332|ITResList332],
+
+
+ ?line {STRes333,S333} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList334 = [STRes333|STResList333],
+ ?line ITRes333 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S333),
+ ITResList334 = [ITRes333|ITResList333],
+
+
+ ?line {STRes334,S334} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList335 = [STRes334|STResList334],
+ ?line ITRes334 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_base64Binary_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S334),
+ ITResList335 = [ITRes334|ITResList334],
+
+
+ ?line {STRes335,S335} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList336 = [STRes335|STResList335],
+ ?line ITRes335 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S335),
+ ITResList336 = [ITRes335|ITResList335],
+
+
+ ?line {STRes336,S336} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList337 = [STRes336|STResList336],
+ ?line ITRes336 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S336),
+ ITResList337 = [ITRes336|ITResList336],
+
+
+ ?line {STRes337,S337} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList338 = [STRes337|STResList337],
+ ?line ITRes337 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S337),
+ ITResList338 = [ITRes337|ITResList337],
+
+
+ ?line {STRes338,S338} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList339 = [STRes338|STResList338],
+ ?line ITRes338 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S338),
+ ITResList339 = [ITRes338|ITResList338],
+
+
+ ?line {STRes339,S339} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList340 = [STRes339|STResList339],
+ ?line ITRes339 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S339),
+ ITResList340 = [ITRes339|ITResList339],
+
+
+ ?line {STRes340,S340} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList341 = [STRes340|STResList340],
+ ?line ITRes340 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S340),
+ ITResList341 = [ITRes340|ITResList340],
+
+
+ ?line {STRes341,S341} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList342 = [STRes341|STResList341],
+ ?line ITRes341 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S341),
+ ITResList342 = [ITRes341|ITResList341],
+
+
+ ?line {STRes342,S342} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList343 = [STRes342|STResList342],
+ ?line ITRes342 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S342),
+ ITResList343 = [ITRes342|ITResList342],
+
+
+ ?line {STRes343,S343} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList344 = [STRes343|STResList343],
+ ?line ITRes343 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S343),
+ ITResList344 = [ITRes343|ITResList343],
+
+
+ ?line {STRes344,S344} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList345 = [STRes344|STResList344],
+ ?line ITRes344 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S344),
+ ITResList345 = [ITRes344|ITResList344],
+
+
+ ?line {STRes345,S345} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList346 = [STRes345|STResList345],
+ ?line ITRes345 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S345),
+ ITResList346 = [ITRes345|ITResList345],
+
+
+ ?line {STRes346,S346} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList347 = [STRes346|STResList346],
+ ?line ITRes346 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S346),
+ ITResList347 = [ITRes346|ITResList346],
+
+
+ ?line {STRes347,S347} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList348 = [STRes347|STResList347],
+ ?line ITRes347 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S347),
+ ITResList348 = [ITRes347|ITResList347],
+
+
+ ?line {STRes348,S348} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList349 = [STRes348|STResList348],
+ ?line ITRes348 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S348),
+ ITResList349 = [ITRes348|ITResList348],
+
+
+ ?line {STRes349,S349} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList350 = [STRes349|STResList349],
+ ?line ITRes349 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S349),
+ ITResList350 = [ITRes349|ITResList349],
+
+
+ ?line {STRes350,S350} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList351 = [STRes350|STResList350],
+ ?line ITRes350 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S350),
+ ITResList351 = [ITRes350|ITResList350],
+
+
+ ?line {STRes351,S351} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList352 = [STRes351|STResList351],
+ ?line ITRes351 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S351),
+ ITResList352 = [ITRes351|ITResList351],
+
+
+ ?line {STRes352,S352} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList353 = [STRes352|STResList352],
+ ?line ITRes352 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S352),
+ ITResList353 = [ITRes352|ITResList352],
+
+
+ ?line {STRes353,S353} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList354 = [STRes353|STResList353],
+ ?line ITRes353 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S353),
+ ITResList354 = [ITRes353|ITResList353],
+
+
+ ?line {STRes354,S354} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList355 = [STRes354|STResList354],
+ ?line ITRes354 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S354),
+ ITResList355 = [ITRes354|ITResList354],
+
+
+ ?line {STRes355,S355} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList356 = [STRes355|STResList355],
+ ?line ITRes355 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S355),
+ ITResList356 = [ITRes355|ITResList355],
+
+
+ ?line {STRes356,S356} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList357 = [STRes356|STResList356],
+ ?line ITRes356 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_hexBinary_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S356),
+ ITResList357 = [ITRes356|ITResList356],
+
+
+ ?line {STRes357,S357} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList358 = [STRes357|STResList357],
+ ?line ITRes357 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S357),
+ ITResList358 = [ITRes357|ITResList357],
+
+
+ ?line {STRes358,S358} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList359 = [STRes358|STResList358],
+ ?line ITRes358 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S358),
+ ITResList359 = [ITRes358|ITResList358],
+
+
+ ?line {STRes359,S359} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList360 = [STRes359|STResList359],
+ ?line ITRes359 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S359),
+ ITResList360 = [ITRes359|ITResList359],
+
+
+ ?line {STRes360,S360} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList361 = [STRes360|STResList360],
+ ?line ITRes360 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S360),
+ ITResList361 = [ITRes360|ITResList360],
+
+
+ ?line {STRes361,S361} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList362 = [STRes361|STResList361],
+ ?line ITRes361 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S361),
+ ITResList362 = [ITRes361|ITResList361],
+
+
+ ?line {STRes362,S362} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList363 = [STRes362|STResList362],
+ ?line ITRes362 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S362),
+ ITResList363 = [ITRes362|ITResList362],
+
+
+ ?line {STRes363,S363} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList364 = [STRes363|STResList363],
+ ?line ITRes363 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S363),
+ ITResList364 = [ITRes363|ITResList363],
+
+
+ ?line {STRes364,S364} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList365 = [STRes364|STResList364],
+ ?line ITRes364 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S364),
+ ITResList365 = [ITRes364|ITResList364],
+
+
+ ?line {STRes365,S365} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList366 = [STRes365|STResList365],
+ ?line ITRes365 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_float.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S365),
+ ITResList366 = [ITRes365|ITResList365],
+
+
+ ?line {STRes366,S366} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList367 = [STRes366|STResList366],
+ ?line ITRes366 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S366),
+ ITResList367 = [ITRes366|ITResList366],
+
+
+ ?line {STRes367,S367} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList368 = [STRes367|STResList367],
+ ?line ITRes367 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S367),
+ ITResList368 = [ITRes367|ITResList367],
+
+
+ ?line {STRes368,S368} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList369 = [STRes368|STResList368],
+ ?line ITRes368 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S368),
+ ITResList369 = [ITRes368|ITResList368],
+
+
+ ?line {STRes369,S369} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList370 = [STRes369|STResList369],
+ ?line ITRes369 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S369),
+ ITResList370 = [ITRes369|ITResList369],
+
+
+ ?line {STRes370,S370} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList371 = [STRes370|STResList370],
+ ?line ITRes370 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S370),
+ ITResList371 = [ITRes370|ITResList370],
+
+
+ ?line {STRes371,S371} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList372 = [STRes371|STResList371],
+ ?line ITRes371 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S371),
+ ITResList372 = [ITRes371|ITResList371],
+
+
+ ?line {STRes372,S372} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList373 = [STRes372|STResList372],
+ ?line ITRes372 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S372),
+ ITResList373 = [ITRes372|ITResList372],
+
+
+ ?line {STRes373,S373} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList374 = [STRes373|STResList373],
+ ?line ITRes373 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S373),
+ ITResList374 = [ITRes373|ITResList373],
+
+
+ ?line {STRes374,S374} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList375 = [STRes374|STResList374],
+ ?line ITRes374 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S374),
+ ITResList375 = [ITRes374|ITResList374],
+
+
+ ?line {STRes375,S375} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList376 = [STRes375|STResList375],
+ ?line ITRes375 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S375),
+ ITResList376 = [ITRes375|ITResList375],
+
+
+ ?line {STRes376,S376} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList377 = [STRes376|STResList376],
+ ?line ITRes376 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S376),
+ ITResList377 = [ITRes376|ITResList376],
+
+
+ ?line {STRes377,S377} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList378 = [STRes377|STResList377],
+ ?line ITRes377 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S377),
+ ITResList378 = [ITRes377|ITResList377],
+
+
+ ?line {STRes378,S378} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList379 = [STRes378|STResList378],
+ ?line ITRes378 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S378),
+ ITResList379 = [ITRes378|ITResList378],
+
+
+ ?line {STRes379,S379} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList380 = [STRes379|STResList379],
+ ?line ITRes379 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S379),
+ ITResList380 = [ITRes379|ITResList379],
+
+
+ ?line {STRes380,S380} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList381 = [STRes380|STResList380],
+ ?line ITRes380 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S380),
+ ITResList381 = [ITRes380|ITResList380],
+
+
+ ?line {STRes381,S381} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList382 = [STRes381|STResList381],
+ ?line ITRes381 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_float_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S381),
+ ITResList382 = [ITRes381|ITResList381],
+
+
+ ?line {STRes382,S382} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList383 = [STRes382|STResList382],
+ ?line ITRes382 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S382),
+ ITResList383 = [ITRes382|ITResList382],
+
+
+ ?line {STRes383,S383} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList384 = [STRes383|STResList383],
+ ?line ITRes383 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S383),
+ ITResList384 = [ITRes383|ITResList383],
+
+
+ ?line {STRes384,S384} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList385 = [STRes384|STResList384],
+ ?line ITRes384 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S384),
+ ITResList385 = [ITRes384|ITResList384],
+
+
+ ?line {STRes385,S385} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList386 = [STRes385|STResList385],
+ ?line ITRes385 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S385),
+ ITResList386 = [ITRes385|ITResList385],
+
+
+ ?line {STRes386,S386} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList387 = [STRes386|STResList386],
+ ?line ITRes386 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S386),
+ ITResList387 = [ITRes386|ITResList386],
+
+
+ ?line {STRes387,S387} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList388 = [STRes387|STResList387],
+ ?line ITRes387 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S387),
+ ITResList388 = [ITRes387|ITResList387],
+
+
+ ?line {STRes388,S388} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList389 = [STRes388|STResList388],
+ ?line ITRes388 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S388),
+ ITResList389 = [ITRes388|ITResList388],
+
+
+ ?line {STRes389,S389} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList390 = [STRes389|STResList389],
+ ?line ITRes389 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S389),
+ ITResList390 = [ITRes389|ITResList389],
+
+
+ ?line {STRes390,S390} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList391 = [STRes390|STResList390],
+ ?line ITRes390 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S390),
+ ITResList391 = [ITRes390|ITResList390],
+
+
+ ?line {STRes391,S391} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList392 = [STRes391|STResList391],
+ ?line ITRes391 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S391),
+ ITResList392 = [ITRes391|ITResList391],
+
+
+ ?line {STRes392,S392} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList393 = [STRes392|STResList392],
+ ?line ITRes392 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S392),
+ ITResList393 = [ITRes392|ITResList392],
+
+
+ ?line {STRes393,S393} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList394 = [STRes393|STResList393],
+ ?line ITRes393 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S393),
+ ITResList394 = [ITRes393|ITResList393],
+
+
+ ?line {STRes394,S394} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList395 = [STRes394|STResList394],
+ ?line ITRes394 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S394),
+ ITResList395 = [ITRes394|ITResList394],
+
+
+ ?line {STRes395,S395} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList396 = [STRes395|STResList395],
+ ?line ITRes395 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_long.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S395),
+ ITResList396 = [ITRes395|ITResList395],
+
+
+ ?line {STRes396,S396} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList397 = [STRes396|STResList396],
+ ?line ITRes396 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_int.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S396),
+ ITResList397 = [ITRes396|ITResList396],
+
+
+ ?line {STRes397,S397} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList398 = [STRes397|STResList397],
+ ?line ITRes397 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_short.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S397),
+ ITResList398 = [ITRes397|ITResList397],
+
+
+ ?line {STRes398,S398} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList399 = [STRes398|STResList398],
+ ?line ITRes398 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S398),
+ ITResList399 = [ITRes398|ITResList398],
+
+
+ ?line {STRes399,S399} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList400 = [STRes399|STResList399],
+ ?line ITRes399 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S399),
+ ITResList400 = [ITRes399|ITResList399],
+
+
+ ?line {STRes400,S400} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList401 = [STRes400|STResList400],
+ ?line ITRes400 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S400),
+ ITResList401 = [ITRes400|ITResList400],
+
+
+ ?line {STRes401,S401} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList402 = [STRes401|STResList401],
+ ?line ITRes401 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S401),
+ ITResList402 = [ITRes401|ITResList401],
+
+
+ ?line {STRes402,S402} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList403 = [STRes402|STResList402],
+ ?line ITRes402 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S402),
+ ITResList403 = [ITRes402|ITResList402],
+
+
+ ?line {STRes403,S403} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList404 = [STRes403|STResList403],
+ ?line ITRes403 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S403),
+ ITResList404 = [ITRes403|ITResList403],
+
+
+ ?line {STRes404,S404} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList405 = [STRes404|STResList404],
+ ?line ITRes404 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S404),
+ ITResList405 = [ITRes404|ITResList404],
+
+
+ ?line {STRes405,S405} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList406 = [STRes405|STResList405],
+ ?line ITRes405 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S405),
+ ITResList406 = [ITRes405|ITResList405],
+
+
+ ?line {STRes406,S406} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList407 = [STRes406|STResList406],
+ ?line ITRes406 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_decimal_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S406),
+ ITResList407 = [ITRes406|ITResList406],
+
+
+ ?line {STRes407,S407} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList408 = [STRes407|STResList407],
+ ?line ITRes407 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S407),
+ ITResList408 = [ITRes407|ITResList407],
+
+
+ ?line {STRes408,S408} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList409 = [STRes408|STResList408],
+ ?line ITRes408 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S408),
+ ITResList409 = [ITRes408|ITResList408],
+
+
+ ?line {STRes409,S409} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList410 = [STRes409|STResList409],
+ ?line ITRes409 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S409),
+ ITResList410 = [ITRes409|ITResList409],
+
+
+ ?line {STRes410,S410} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList411 = [STRes410|STResList410],
+ ?line ITRes410 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S410),
+ ITResList411 = [ITRes410|ITResList410],
+
+
+ ?line {STRes411,S411} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList412 = [STRes411|STResList411],
+ ?line ITRes411 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S411),
+ ITResList412 = [ITRes411|ITResList411],
+
+
+ ?line {STRes412,S412} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList413 = [STRes412|STResList412],
+ ?line ITRes412 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S412),
+ ITResList413 = [ITRes412|ITResList412],
+
+
+ ?line {STRes413,S413} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList414 = [STRes413|STResList413],
+ ?line ITRes413 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S413),
+ ITResList414 = [ITRes413|ITResList413],
+
+
+ ?line {STRes414,S414} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList415 = [STRes414|STResList414],
+ ?line ITRes414 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S414),
+ ITResList415 = [ITRes414|ITResList414],
+
+
+ ?line {STRes415,S415} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList416 = [STRes415|STResList415],
+ ?line ITRes415 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S415),
+ ITResList416 = [ITRes415|ITResList415],
+
+
+ ?line {STRes416,S416} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList417 = [STRes416|STResList416],
+ ?line ITRes416 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S416),
+ ITResList417 = [ITRes416|ITResList416],
+
+
+ ?line {STRes417,S417} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList418 = [STRes417|STResList417],
+ ?line ITRes417 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S417),
+ ITResList418 = [ITRes417|ITResList417],
+
+
+ ?line {STRes418,S418} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList419 = [STRes418|STResList418],
+ ?line ITRes418 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S418),
+ ITResList419 = [ITRes418|ITResList418],
+
+
+ ?line {STRes419,S419} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList420 = [STRes419|STResList419],
+ ?line ITRes419 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S419),
+ ITResList420 = [ITRes419|ITResList419],
+
+
+ ?line {STRes420,S420} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList421 = [STRes420|STResList420],
+ ?line ITRes420 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_long.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S420),
+ ITResList421 = [ITRes420|ITResList420],
+
+
+ ?line {STRes421,S421} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList422 = [STRes421|STResList421],
+ ?line ITRes421 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_int.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S421),
+ ITResList422 = [ITRes421|ITResList421],
+
+
+ ?line {STRes422,S422} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList423 = [STRes422|STResList422],
+ ?line ITRes422 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_short.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S422),
+ ITResList423 = [ITRes422|ITResList422],
+
+
+ ?line {STRes423,S423} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList424 = [STRes423|STResList423],
+ ?line ITRes423 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S423),
+ ITResList424 = [ITRes423|ITResList423],
+
+
+ ?line {STRes424,S424} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList425 = [STRes424|STResList424],
+ ?line ITRes424 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S424),
+ ITResList425 = [ITRes424|ITResList424],
+
+
+ ?line {STRes425,S425} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList426 = [STRes425|STResList425],
+ ?line ITRes425 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S425),
+ ITResList426 = [ITRes425|ITResList425],
+
+
+ ?line {STRes426,S426} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList427 = [STRes426|STResList426],
+ ?line ITRes426 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S426),
+ ITResList427 = [ITRes426|ITResList426],
+
+
+ ?line {STRes427,S427} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList428 = [STRes427|STResList427],
+ ?line ITRes427 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S427),
+ ITResList428 = [ITRes427|ITResList427],
+
+
+ ?line {STRes428,S428} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList429 = [STRes428|STResList428],
+ ?line ITRes428 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S428),
+ ITResList429 = [ITRes428|ITResList428],
+
+
+ ?line {STRes429,S429} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList430 = [STRes429|STResList429],
+ ?line ITRes429 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S429),
+ ITResList430 = [ITRes429|ITResList429],
+
+
+ ?line {STRes430,S430} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList431 = [STRes430|STResList430],
+ ?line ITRes430 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S430),
+ ITResList431 = [ITRes430|ITResList430],
+
+
+ ?line {STRes431,S431} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList432 = [STRes431|STResList431],
+ ?line ITRes431 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_integer_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S431),
+ ITResList432 = [ITRes431|ITResList431],
+
+
+ ?line {STRes432,S432} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList433 = [STRes432|STResList432],
+ ?line ITRes432 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S432),
+ ITResList433 = [ITRes432|ITResList432],
+
+
+ ?line {STRes433,S433} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList434 = [STRes433|STResList433],
+ ?line ITRes433 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S433),
+ ITResList434 = [ITRes433|ITResList433],
+
+
+ ?line {STRes434,S434} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList435 = [STRes434|STResList434],
+ ?line ITRes434 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S434),
+ ITResList435 = [ITRes434|ITResList434],
+
+
+ ?line {STRes435,S435} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList436 = [STRes435|STResList435],
+ ?line ITRes435 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S435),
+ ITResList436 = [ITRes435|ITResList435],
+
+
+ ?line {STRes436,S436} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList437 = [STRes436|STResList436],
+ ?line ITRes436 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S436),
+ ITResList437 = [ITRes436|ITResList436],
+
+
+ ?line {STRes437,S437} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList438 = [STRes437|STResList437],
+ ?line ITRes437 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S437),
+ ITResList438 = [ITRes437|ITResList437],
+
+
+ ?line {STRes438,S438} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList439 = [STRes438|STResList438],
+ ?line ITRes438 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S438),
+ ITResList439 = [ITRes438|ITResList438],
+
+
+ ?line {STRes439,S439} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList440 = [STRes439|STResList439],
+ ?line ITRes439 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S439),
+ ITResList440 = [ITRes439|ITResList439],
+
+
+ ?line {STRes440,S440} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList441 = [STRes440|STResList440],
+ ?line ITRes440 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S440),
+ ITResList441 = [ITRes440|ITResList440],
+
+
+ ?line {STRes441,S441} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList442 = [STRes441|STResList441],
+ ?line ITRes441 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S441),
+ ITResList442 = [ITRes441|ITResList441],
+
+
+ ?line {STRes442,S442} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList443 = [STRes442|STResList442],
+ ?line ITRes442 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S442),
+ ITResList443 = [ITRes442|ITResList442],
+
+
+ ?line {STRes443,S443} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList444 = [STRes443|STResList443],
+ ?line ITRes443 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S443),
+ ITResList444 = [ITRes443|ITResList443],
+
+
+ ?line {STRes444,S444} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList445 = [STRes444|STResList444],
+ ?line ITRes444 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S444),
+ ITResList445 = [ITRes444|ITResList444],
+
+
+ ?line {STRes445,S445} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList446 = [STRes445|STResList445],
+ ?line ITRes445 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S445),
+ ITResList446 = [ITRes445|ITResList445],
+
+
+ ?line {STRes446,S446} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList447 = [STRes446|STResList446],
+ ?line ITRes446 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S446),
+ ITResList447 = [ITRes446|ITResList446],
+
+
+ ?line {STRes447,S447} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList448 = [STRes447|STResList447],
+ ?line ITRes447 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S447),
+ ITResList448 = [ITRes447|ITResList447],
+
+
+ ?line {STRes448,S448} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList449 = [STRes448|STResList448],
+ ?line ITRes448 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonPositiveInteger_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S448),
+ ITResList449 = [ITRes448|ITResList448],
+
+
+ ?line {STRes449,S449} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList450 = [STRes449|STResList449],
+ ?line ITRes449 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S449),
+ ITResList450 = [ITRes449|ITResList449],
+
+
+ ?line {STRes450,S450} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList451 = [STRes450|STResList450],
+ ?line ITRes450 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S450),
+ ITResList451 = [ITRes450|ITResList450],
+
+
+ ?line {STRes451,S451} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList452 = [STRes451|STResList451],
+ ?line ITRes451 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S451),
+ ITResList452 = [ITRes451|ITResList451],
+
+
+ ?line {STRes452,S452} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList453 = [STRes452|STResList452],
+ ?line ITRes452 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S452),
+ ITResList453 = [ITRes452|ITResList452],
+
+
+ ?line {STRes453,S453} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList454 = [STRes453|STResList453],
+ ?line ITRes453 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S453),
+ ITResList454 = [ITRes453|ITResList453],
+
+
+ ?line {STRes454,S454} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList455 = [STRes454|STResList454],
+ ?line ITRes454 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S454),
+ ITResList455 = [ITRes454|ITResList454],
+
+
+ ?line {STRes455,S455} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList456 = [STRes455|STResList455],
+ ?line ITRes455 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S455),
+ ITResList456 = [ITRes455|ITResList455],
+
+
+ ?line {STRes456,S456} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList457 = [STRes456|STResList456],
+ ?line ITRes456 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S456),
+ ITResList457 = [ITRes456|ITResList456],
+
+
+ ?line {STRes457,S457} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList458 = [STRes457|STResList457],
+ ?line ITRes457 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S457),
+ ITResList458 = [ITRes457|ITResList457],
+
+
+ ?line {STRes458,S458} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList459 = [STRes458|STResList458],
+ ?line ITRes458 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S458),
+ ITResList459 = [ITRes458|ITResList458],
+
+
+ ?line {STRes459,S459} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList460 = [STRes459|STResList459],
+ ?line ITRes459 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S459),
+ ITResList460 = [ITRes459|ITResList459],
+
+
+ ?line {STRes460,S460} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList461 = [STRes460|STResList460],
+ ?line ITRes460 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S460),
+ ITResList461 = [ITRes460|ITResList460],
+
+
+ ?line {STRes461,S461} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList462 = [STRes461|STResList461],
+ ?line ITRes461 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S461),
+ ITResList462 = [ITRes461|ITResList461],
+
+
+ ?line {STRes462,S462} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList463 = [STRes462|STResList462],
+ ?line ITRes462 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S462),
+ ITResList463 = [ITRes462|ITResList462],
+
+
+ ?line {STRes463,S463} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList464 = [STRes463|STResList463],
+ ?line ITRes463 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S463),
+ ITResList464 = [ITRes463|ITResList463],
+
+
+ ?line {STRes464,S464} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList465 = [STRes464|STResList464],
+ ?line ITRes464 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_negativeInteger_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S464),
+ ITResList465 = [ITRes464|ITResList464],
+
+
+ ?line {STRes465,S465} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList466 = [STRes465|STResList465],
+ ?line ITRes465 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S465),
+ ITResList466 = [ITRes465|ITResList465],
+
+
+ ?line {STRes466,S466} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList467 = [STRes466|STResList466],
+ ?line ITRes466 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S466),
+ ITResList467 = [ITRes466|ITResList466],
+
+
+ ?line {STRes467,S467} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList468 = [STRes467|STResList467],
+ ?line ITRes467 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S467),
+ ITResList468 = [ITRes467|ITResList467],
+
+
+ ?line {STRes468,S468} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList469 = [STRes468|STResList468],
+ ?line ITRes468 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S468),
+ ITResList469 = [ITRes468|ITResList468],
+
+
+ ?line {STRes469,S469} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList470 = [STRes469|STResList469],
+ ?line ITRes469 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S469),
+ ITResList470 = [ITRes469|ITResList469],
+
+
+ ?line {STRes470,S470} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList471 = [STRes470|STResList470],
+ ?line ITRes470 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S470),
+ ITResList471 = [ITRes470|ITResList470],
+
+
+ ?line {STRes471,S471} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList472 = [STRes471|STResList471],
+ ?line ITRes471 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S471),
+ ITResList472 = [ITRes471|ITResList471],
+
+
+ ?line {STRes472,S472} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList473 = [STRes472|STResList472],
+ ?line ITRes472 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S472),
+ ITResList473 = [ITRes472|ITResList472],
+
+
+ ?line {STRes473,S473} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList474 = [STRes473|STResList473],
+ ?line ITRes473 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S473),
+ ITResList474 = [ITRes473|ITResList473],
+
+
+ ?line {STRes474,S474} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList475 = [STRes474|STResList474],
+ ?line ITRes474 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S474),
+ ITResList475 = [ITRes474|ITResList474],
+
+
+ ?line {STRes475,S475} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList476 = [STRes475|STResList475],
+ ?line ITRes475 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S475),
+ ITResList476 = [ITRes475|ITResList475],
+
+
+ ?line {STRes476,S476} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList477 = [STRes476|STResList476],
+ ?line ITRes476 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S476),
+ ITResList477 = [ITRes476|ITResList476],
+
+
+ ?line {STRes477,S477} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList478 = [STRes477|STResList477],
+ ?line ITRes477 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S477),
+ ITResList478 = [ITRes477|ITResList477],
+
+
+ ?line {STRes478,S478} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList479 = [STRes478|STResList478],
+ ?line ITRes478 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_long.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S478),
+ ITResList479 = [ITRes478|ITResList478],
+
+
+ ?line {STRes479,S479} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList480 = [STRes479|STResList479],
+ ?line ITRes479 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_int.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S479),
+ ITResList480 = [ITRes479|ITResList479],
+
+
+ ?line {STRes480,S480} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList481 = [STRes480|STResList480],
+ ?line ITRes480 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_short.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S480),
+ ITResList481 = [ITRes480|ITResList480],
+
+
+ ?line {STRes481,S481} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList482 = [STRes481|STResList481],
+ ?line ITRes481 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S481),
+ ITResList482 = [ITRes481|ITResList481],
+
+
+ ?line {STRes482,S482} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList483 = [STRes482|STResList482],
+ ?line ITRes482 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S482),
+ ITResList483 = [ITRes482|ITResList482],
+
+
+ ?line {STRes483,S483} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList484 = [STRes483|STResList483],
+ ?line ITRes483 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S483),
+ ITResList484 = [ITRes483|ITResList483],
+
+
+ ?line {STRes484,S484} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList485 = [STRes484|STResList484],
+ ?line ITRes484 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S484),
+ ITResList485 = [ITRes484|ITResList484],
+
+
+ ?line {STRes485,S485} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList486 = [STRes485|STResList485],
+ ?line ITRes485 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S485),
+ ITResList486 = [ITRes485|ITResList485],
+
+
+ ?line {STRes486,S486} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList487 = [STRes486|STResList486],
+ ?line ITRes486 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S486),
+ ITResList487 = [ITRes486|ITResList486],
+
+
+ ?line {STRes487,S487} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList488 = [STRes487|STResList487],
+ ?line ITRes487 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S487),
+ ITResList488 = [ITRes487|ITResList487],
+
+
+ ?line {STRes488,S488} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList489 = [STRes488|STResList488],
+ ?line ITRes488 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S488),
+ ITResList489 = [ITRes488|ITResList488],
+
+
+ ?line {STRes489,S489} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList490 = [STRes489|STResList489],
+ ?line ITRes489 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_long_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S489),
+ ITResList490 = [ITRes489|ITResList489],
+
+
+ ?line {STRes490,S490} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList491 = [STRes490|STResList490],
+ ?line ITRes490 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S490),
+ ITResList491 = [ITRes490|ITResList490],
+
+
+ ?line {STRes491,S491} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList492 = [STRes491|STResList491],
+ ?line ITRes491 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S491),
+ ITResList492 = [ITRes491|ITResList491],
+
+
+ ?line {STRes492,S492} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList493 = [STRes492|STResList492],
+ ?line ITRes492 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S492),
+ ITResList493 = [ITRes492|ITResList492],
+
+
+ ?line {STRes493,S493} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList494 = [STRes493|STResList493],
+ ?line ITRes493 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S493),
+ ITResList494 = [ITRes493|ITResList493],
+
+
+ ?line {STRes494,S494} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList495 = [STRes494|STResList494],
+ ?line ITRes494 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S494),
+ ITResList495 = [ITRes494|ITResList494],
+
+
+ ?line {STRes495,S495} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList496 = [STRes495|STResList495],
+ ?line ITRes495 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S495),
+ ITResList496 = [ITRes495|ITResList495],
+
+
+ ?line {STRes496,S496} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList497 = [STRes496|STResList496],
+ ?line ITRes496 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S496),
+ ITResList497 = [ITRes496|ITResList496],
+
+
+ ?line {STRes497,S497} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList498 = [STRes497|STResList497],
+ ?line ITRes497 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S497),
+ ITResList498 = [ITRes497|ITResList497],
+
+
+ ?line {STRes498,S498} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList499 = [STRes498|STResList498],
+ ?line ITRes498 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S498),
+ ITResList499 = [ITRes498|ITResList498],
+
+
+ ?line {STRes499,S499} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList500 = [STRes499|STResList499],
+ ?line ITRes499 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S499),
+ ITResList500 = [ITRes499|ITResList499],
+
+
+ ?line {STRes500,S500} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList501 = [STRes500|STResList500],
+ ?line ITRes500 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S500),
+ ITResList501 = [ITRes500|ITResList500],
+
+
+ ?line {STRes501,S501} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList502 = [STRes501|STResList501],
+ ?line ITRes501 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S501),
+ ITResList502 = [ITRes501|ITResList501],
+
+
+ ?line {STRes502,S502} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList503 = [STRes502|STResList502],
+ ?line ITRes502 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S502),
+ ITResList503 = [ITRes502|ITResList502],
+
+
+ ?line {STRes503,S503} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList504 = [STRes503|STResList503],
+ ?line ITRes503 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_long.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S503),
+ ITResList504 = [ITRes503|ITResList503],
+
+
+ ?line {STRes504,S504} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList505 = [STRes504|STResList504],
+ ?line ITRes504 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_int.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S504),
+ ITResList505 = [ITRes504|ITResList504],
+
+
+ ?line {STRes505,S505} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList506 = [STRes505|STResList505],
+ ?line ITRes505 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_short.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S505),
+ ITResList506 = [ITRes505|ITResList505],
+
+
+ ?line {STRes506,S506} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList507 = [STRes506|STResList506],
+ ?line ITRes506 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S506),
+ ITResList507 = [ITRes506|ITResList506],
+
+
+ ?line {STRes507,S507} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList508 = [STRes507|STResList507],
+ ?line ITRes507 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S507),
+ ITResList508 = [ITRes507|ITResList507],
+
+
+ ?line {STRes508,S508} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList509 = [STRes508|STResList508],
+ ?line ITRes508 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S508),
+ ITResList509 = [ITRes508|ITResList508],
+
+
+ ?line {STRes509,S509} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList510 = [STRes509|STResList509],
+ ?line ITRes509 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S509),
+ ITResList510 = [ITRes509|ITResList509],
+
+
+ ?line {STRes510,S510} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList511 = [STRes510|STResList510],
+ ?line ITRes510 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S510),
+ ITResList511 = [ITRes510|ITResList510],
+
+
+ ?line {STRes511,S511} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList512 = [STRes511|STResList511],
+ ?line ITRes511 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S511),
+ ITResList512 = [ITRes511|ITResList511],
+
+
+ ?line {STRes512,S512} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList513 = [STRes512|STResList512],
+ ?line ITRes512 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S512),
+ ITResList513 = [ITRes512|ITResList512],
+
+
+ ?line {STRes513,S513} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList514 = [STRes513|STResList513],
+ ?line ITRes513 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S513),
+ ITResList514 = [ITRes513|ITResList513],
+
+
+ ?line {STRes514,S514} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList515 = [STRes514|STResList514],
+ ?line ITRes514 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_int_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S514),
+ ITResList515 = [ITRes514|ITResList514],
+
+
+ ?line {STRes515,S515} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList516 = [STRes515|STResList515],
+ ?line ITRes515 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S515),
+ ITResList516 = [ITRes515|ITResList515],
+
+
+ ?line {STRes516,S516} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList517 = [STRes516|STResList516],
+ ?line ITRes516 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S516),
+ ITResList517 = [ITRes516|ITResList516],
+
+
+ ?line {STRes517,S517} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList518 = [STRes517|STResList517],
+ ?line ITRes517 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S517),
+ ITResList518 = [ITRes517|ITResList517],
+
+
+ ?line {STRes518,S518} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList519 = [STRes518|STResList518],
+ ?line ITRes518 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S518),
+ ITResList519 = [ITRes518|ITResList518],
+
+
+ ?line {STRes519,S519} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList520 = [STRes519|STResList519],
+ ?line ITRes519 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S519),
+ ITResList520 = [ITRes519|ITResList519],
+
+
+ ?line {STRes520,S520} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList521 = [STRes520|STResList520],
+ ?line ITRes520 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S520),
+ ITResList521 = [ITRes520|ITResList520],
+
+
+ ?line {STRes521,S521} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList522 = [STRes521|STResList521],
+ ?line ITRes521 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S521),
+ ITResList522 = [ITRes521|ITResList521],
+
+
+ ?line {STRes522,S522} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList523 = [STRes522|STResList522],
+ ?line ITRes522 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S522),
+ ITResList523 = [ITRes522|ITResList522],
+
+
+ ?line {STRes523,S523} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList524 = [STRes523|STResList523],
+ ?line ITRes523 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S523),
+ ITResList524 = [ITRes523|ITResList523],
+
+
+ ?line {STRes524,S524} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList525 = [STRes524|STResList524],
+ ?line ITRes524 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S524),
+ ITResList525 = [ITRes524|ITResList524],
+
+
+ ?line {STRes525,S525} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList526 = [STRes525|STResList525],
+ ?line ITRes525 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S525),
+ ITResList526 = [ITRes525|ITResList525],
+
+
+ ?line {STRes526,S526} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList527 = [STRes526|STResList526],
+ ?line ITRes526 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S526),
+ ITResList527 = [ITRes526|ITResList526],
+
+
+ ?line {STRes527,S527} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList528 = [STRes527|STResList527],
+ ?line ITRes527 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S527),
+ ITResList528 = [ITRes527|ITResList527],
+
+
+ ?line {STRes528,S528} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList529 = [STRes528|STResList528],
+ ?line ITRes528 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_long.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S528),
+ ITResList529 = [ITRes528|ITResList528],
+
+
+ ?line {STRes529,S529} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList530 = [STRes529|STResList529],
+ ?line ITRes529 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_int.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S529),
+ ITResList530 = [ITRes529|ITResList529],
+
+
+ ?line {STRes530,S530} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList531 = [STRes530|STResList530],
+ ?line ITRes530 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_short.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S530),
+ ITResList531 = [ITRes530|ITResList530],
+
+
+ ?line {STRes531,S531} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList532 = [STRes531|STResList531],
+ ?line ITRes531 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S531),
+ ITResList532 = [ITRes531|ITResList531],
+
+
+ ?line {STRes532,S532} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList533 = [STRes532|STResList532],
+ ?line ITRes532 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S532),
+ ITResList533 = [ITRes532|ITResList532],
+
+
+ ?line {STRes533,S533} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList534 = [STRes533|STResList533],
+ ?line ITRes533 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S533),
+ ITResList534 = [ITRes533|ITResList533],
+
+
+ ?line {STRes534,S534} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList535 = [STRes534|STResList534],
+ ?line ITRes534 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S534),
+ ITResList535 = [ITRes534|ITResList534],
+
+
+ ?line {STRes535,S535} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList536 = [STRes535|STResList535],
+ ?line ITRes535 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S535),
+ ITResList536 = [ITRes535|ITResList535],
+
+
+ ?line {STRes536,S536} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList537 = [STRes536|STResList536],
+ ?line ITRes536 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S536),
+ ITResList537 = [ITRes536|ITResList536],
+
+
+ ?line {STRes537,S537} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList538 = [STRes537|STResList537],
+ ?line ITRes537 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S537),
+ ITResList538 = [ITRes537|ITResList537],
+
+
+ ?line {STRes538,S538} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList539 = [STRes538|STResList538],
+ ?line ITRes538 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S538),
+ ITResList539 = [ITRes538|ITResList538],
+
+
+ ?line {STRes539,S539} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList540 = [STRes539|STResList539],
+ ?line ITRes539 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_short_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S539),
+ ITResList540 = [ITRes539|ITResList539],
+
+
+ ?line {STRes540,S540} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList541 = [STRes540|STResList540],
+ ?line ITRes540 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S540),
+ ITResList541 = [ITRes540|ITResList540],
+
+
+ ?line {STRes541,S541} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList542 = [STRes541|STResList541],
+ ?line ITRes541 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S541),
+ ITResList542 = [ITRes541|ITResList541],
+
+
+ ?line {STRes542,S542} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList543 = [STRes542|STResList542],
+ ?line ITRes542 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S542),
+ ITResList543 = [ITRes542|ITResList542],
+
+
+ ?line {STRes543,S543} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList544 = [STRes543|STResList543],
+ ?line ITRes543 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S543),
+ ITResList544 = [ITRes543|ITResList543],
+
+
+ ?line {STRes544,S544} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList545 = [STRes544|STResList544],
+ ?line ITRes544 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S544),
+ ITResList545 = [ITRes544|ITResList544],
+
+
+ ?line {STRes545,S545} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList546 = [STRes545|STResList545],
+ ?line ITRes545 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S545),
+ ITResList546 = [ITRes545|ITResList545],
+
+
+ ?line {STRes546,S546} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList547 = [STRes546|STResList546],
+ ?line ITRes546 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S546),
+ ITResList547 = [ITRes546|ITResList546],
+
+
+ ?line {STRes547,S547} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList548 = [STRes547|STResList547],
+ ?line ITRes547 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S547),
+ ITResList548 = [ITRes547|ITResList547],
+
+
+ ?line {STRes548,S548} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList549 = [STRes548|STResList548],
+ ?line ITRes548 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S548),
+ ITResList549 = [ITRes548|ITResList548],
+
+
+ ?line {STRes549,S549} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList550 = [STRes549|STResList549],
+ ?line ITRes549 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S549),
+ ITResList550 = [ITRes549|ITResList549],
+
+
+ ?line {STRes550,S550} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList551 = [STRes550|STResList550],
+ ?line ITRes550 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S550),
+ ITResList551 = [ITRes550|ITResList550],
+
+
+ ?line {STRes551,S551} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList552 = [STRes551|STResList551],
+ ?line ITRes551 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S551),
+ ITResList552 = [ITRes551|ITResList551],
+
+
+ ?line {STRes552,S552} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList553 = [STRes552|STResList552],
+ ?line ITRes552 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S552),
+ ITResList553 = [ITRes552|ITResList552],
+
+
+ ?line {STRes553,S553} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList554 = [STRes553|STResList553],
+ ?line ITRes553 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_long.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S553),
+ ITResList554 = [ITRes553|ITResList553],
+
+
+ ?line {STRes554,S554} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList555 = [STRes554|STResList554],
+ ?line ITRes554 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_int.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S554),
+ ITResList555 = [ITRes554|ITResList554],
+
+
+ ?line {STRes555,S555} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList556 = [STRes555|STResList555],
+ ?line ITRes555 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_short.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S555),
+ ITResList556 = [ITRes555|ITResList555],
+
+
+ ?line {STRes556,S556} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList557 = [STRes556|STResList556],
+ ?line ITRes556 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S556),
+ ITResList557 = [ITRes556|ITResList556],
+
+
+ ?line {STRes557,S557} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList558 = [STRes557|STResList557],
+ ?line ITRes557 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S557),
+ ITResList558 = [ITRes557|ITResList557],
+
+
+ ?line {STRes558,S558} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList559 = [STRes558|STResList558],
+ ?line ITRes558 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S558),
+ ITResList559 = [ITRes558|ITResList558],
+
+
+ ?line {STRes559,S559} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList560 = [STRes559|STResList559],
+ ?line ITRes559 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S559),
+ ITResList560 = [ITRes559|ITResList559],
+
+
+ ?line {STRes560,S560} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList561 = [STRes560|STResList560],
+ ?line ITRes560 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S560),
+ ITResList561 = [ITRes560|ITResList560],
+
+
+ ?line {STRes561,S561} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList562 = [STRes561|STResList561],
+ ?line ITRes561 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S561),
+ ITResList562 = [ITRes561|ITResList561],
+
+
+ ?line {STRes562,S562} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList563 = [STRes562|STResList562],
+ ?line ITRes562 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S562),
+ ITResList563 = [ITRes562|ITResList562],
+
+
+ ?line {STRes563,S563} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList564 = [STRes563|STResList563],
+ ?line ITRes563 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S563),
+ ITResList564 = [ITRes563|ITResList563],
+
+
+ ?line {STRes564,S564} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList565 = [STRes564|STResList564],
+ ?line ITRes564 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_byte_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S564),
+ ITResList565 = [ITRes564|ITResList564],
+
+
+ ?line {STRes565,S565} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList566 = [STRes565|STResList565],
+ ?line ITRes565 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S565),
+ ITResList566 = [ITRes565|ITResList565],
+
+
+ ?line {STRes566,S566} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList567 = [STRes566|STResList566],
+ ?line ITRes566 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S566),
+ ITResList567 = [ITRes566|ITResList566],
+
+
+ ?line {STRes567,S567} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList568 = [STRes567|STResList567],
+ ?line ITRes567 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S567),
+ ITResList568 = [ITRes567|ITResList567],
+
+
+ ?line {STRes568,S568} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList569 = [STRes568|STResList568],
+ ?line ITRes568 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S568),
+ ITResList569 = [ITRes568|ITResList568],
+
+
+ ?line {STRes569,S569} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList570 = [STRes569|STResList569],
+ ?line ITRes569 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S569),
+ ITResList570 = [ITRes569|ITResList569],
+
+
+ ?line {STRes570,S570} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList571 = [STRes570|STResList570],
+ ?line ITRes570 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S570),
+ ITResList571 = [ITRes570|ITResList570],
+
+
+ ?line {STRes571,S571} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList572 = [STRes571|STResList571],
+ ?line ITRes571 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S571),
+ ITResList572 = [ITRes571|ITResList571],
+
+
+ ?line {STRes572,S572} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList573 = [STRes572|STResList572],
+ ?line ITRes572 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S572),
+ ITResList573 = [ITRes572|ITResList572],
+
+
+ ?line {STRes573,S573} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList574 = [STRes573|STResList573],
+ ?line ITRes573 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S573),
+ ITResList574 = [ITRes573|ITResList573],
+
+
+ ?line {STRes574,S574} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList575 = [STRes574|STResList574],
+ ?line ITRes574 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S574),
+ ITResList575 = [ITRes574|ITResList574],
+
+
+ ?line {STRes575,S575} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList576 = [STRes575|STResList575],
+ ?line ITRes575 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S575),
+ ITResList576 = [ITRes575|ITResList575],
+
+
+ ?line {STRes576,S576} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList577 = [STRes576|STResList576],
+ ?line ITRes576 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S576),
+ ITResList577 = [ITRes576|ITResList576],
+
+
+ ?line {STRes577,S577} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList578 = [STRes577|STResList577],
+ ?line ITRes577 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S577),
+ ITResList578 = [ITRes577|ITResList577],
+
+
+ ?line {STRes578,S578} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList579 = [STRes578|STResList578],
+ ?line ITRes578 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S578),
+ ITResList579 = [ITRes578|ITResList578],
+
+
+ ?line {STRes579,S579} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList580 = [STRes579|STResList579],
+ ?line ITRes579 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S579),
+ ITResList580 = [ITRes579|ITResList579],
+
+
+ ?line {STRes580,S580} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList581 = [STRes580|STResList580],
+ ?line ITRes580 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S580),
+ ITResList581 = [ITRes580|ITResList580],
+
+
+ ?line {STRes581,S581} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList582 = [STRes581|STResList581],
+ ?line ITRes581 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S581),
+ ITResList582 = [ITRes581|ITResList581],
+
+
+ ?line {STRes582,S582} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList583 = [STRes582|STResList582],
+ ?line ITRes582 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S582),
+ ITResList583 = [ITRes582|ITResList582],
+
+
+ ?line {STRes583,S583} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList584 = [STRes583|STResList583],
+ ?line ITRes583 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S583),
+ ITResList584 = [ITRes583|ITResList583],
+
+
+ ?line {STRes584,S584} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList585 = [STRes584|STResList584],
+ ?line ITRes584 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S584),
+ ITResList585 = [ITRes584|ITResList584],
+
+
+ ?line {STRes585,S585} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList586 = [STRes585|STResList585],
+ ?line ITRes585 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S585),
+ ITResList586 = [ITRes585|ITResList585],
+
+
+ ?line {STRes586,S586} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList587 = [STRes586|STResList586],
+ ?line ITRes586 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S586),
+ ITResList587 = [ITRes586|ITResList586],
+
+
+ ?line {STRes587,S587} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList588 = [STRes587|STResList587],
+ ?line ITRes587 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_nonNegativeInteger_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S587),
+ ITResList588 = [ITRes587|ITResList587],
+
+
+ ?line {STRes588,S588} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList589 = [STRes588|STResList588],
+ ?line ITRes588 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S588),
+ ITResList589 = [ITRes588|ITResList588],
+
+
+ ?line {STRes589,S589} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList590 = [STRes589|STResList589],
+ ?line ITRes589 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S589),
+ ITResList590 = [ITRes589|ITResList589],
+
+
+ ?line {STRes590,S590} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList591 = [STRes590|STResList590],
+ ?line ITRes590 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S590),
+ ITResList591 = [ITRes590|ITResList590],
+
+
+ ?line {STRes591,S591} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList592 = [STRes591|STResList591],
+ ?line ITRes591 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S591),
+ ITResList592 = [ITRes591|ITResList591],
+
+
+ ?line {STRes592,S592} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList593 = [STRes592|STResList592],
+ ?line ITRes592 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S592),
+ ITResList593 = [ITRes592|ITResList592],
+
+
+ ?line {STRes593,S593} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList594 = [STRes593|STResList593],
+ ?line ITRes593 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S593),
+ ITResList594 = [ITRes593|ITResList593],
+
+
+ ?line {STRes594,S594} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList595 = [STRes594|STResList594],
+ ?line ITRes594 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S594),
+ ITResList595 = [ITRes594|ITResList594],
+
+
+ ?line {STRes595,S595} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList596 = [STRes595|STResList595],
+ ?line ITRes595 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S595),
+ ITResList596 = [ITRes595|ITResList595],
+
+
+ ?line {STRes596,S596} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList597 = [STRes596|STResList596],
+ ?line ITRes596 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S596),
+ ITResList597 = [ITRes596|ITResList596],
+
+
+ ?line {STRes597,S597} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList598 = [STRes597|STResList597],
+ ?line ITRes597 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S597),
+ ITResList598 = [ITRes597|ITResList597],
+
+
+ ?line {STRes598,S598} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList599 = [STRes598|STResList598],
+ ?line ITRes598 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S598),
+ ITResList599 = [ITRes598|ITResList598],
+
+
+ ?line {STRes599,S599} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList600 = [STRes599|STResList599],
+ ?line ITRes599 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S599),
+ ITResList600 = [ITRes599|ITResList599],
+
+
+ ?line {STRes600,S600} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList601 = [STRes600|STResList600],
+ ?line ITRes600 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S600),
+ ITResList601 = [ITRes600|ITResList600],
+
+
+ ?line {STRes601,S601} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList602 = [STRes601|STResList601],
+ ?line ITRes601 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S601),
+ ITResList602 = [ITRes601|ITResList601],
+
+
+ ?line {STRes602,S602} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList603 = [STRes602|STResList602],
+ ?line ITRes602 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S602),
+ ITResList603 = [ITRes602|ITResList602],
+
+
+ ?line {STRes603,S603} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList604 = [STRes603|STResList603],
+ ?line ITRes603 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S603),
+ ITResList604 = [ITRes603|ITResList603],
+
+
+ ?line {STRes604,S604} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList605 = [STRes604|STResList604],
+ ?line ITRes604 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S604),
+ ITResList605 = [ITRes604|ITResList604],
+
+
+ ?line {STRes605,S605} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList606 = [STRes605|STResList605],
+ ?line ITRes605 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S605),
+ ITResList606 = [ITRes605|ITResList605],
+
+
+ ?line {STRes606,S606} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList607 = [STRes606|STResList606],
+ ?line ITRes606 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S606),
+ ITResList607 = [ITRes606|ITResList606],
+
+
+ ?line {STRes607,S607} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList608 = [STRes607|STResList607],
+ ?line ITRes607 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S607),
+ ITResList608 = [ITRes607|ITResList607],
+
+
+ ?line {STRes608,S608} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList609 = [STRes608|STResList608],
+ ?line ITRes608 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S608),
+ ITResList609 = [ITRes608|ITResList608],
+
+
+ ?line {STRes609,S609} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList610 = [STRes609|STResList609],
+ ?line ITRes609 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S609),
+ ITResList610 = [ITRes609|ITResList609],
+
+
+ ?line {STRes610,S610} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList611 = [STRes610|STResList610],
+ ?line ITRes610 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_positiveInteger_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S610),
+ ITResList611 = [ITRes610|ITResList610],
+
+
+ ?line {STRes611,S611} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList612 = [STRes611|STResList611],
+ ?line ITRes611 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S611),
+ ITResList612 = [ITRes611|ITResList611],
+
+
+ ?line {STRes612,S612} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList613 = [STRes612|STResList612],
+ ?line ITRes612 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S612),
+ ITResList613 = [ITRes612|ITResList612],
+
+
+ ?line {STRes613,S613} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList614 = [STRes613|STResList613],
+ ?line ITRes613 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S613),
+ ITResList614 = [ITRes613|ITResList613],
+
+
+ ?line {STRes614,S614} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList615 = [STRes614|STResList614],
+ ?line ITRes614 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S614),
+ ITResList615 = [ITRes614|ITResList614],
+
+
+ ?line {STRes615,S615} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList616 = [STRes615|STResList615],
+ ?line ITRes615 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S615),
+ ITResList616 = [ITRes615|ITResList615],
+
+
+ ?line {STRes616,S616} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList617 = [STRes616|STResList616],
+ ?line ITRes616 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S616),
+ ITResList617 = [ITRes616|ITResList616],
+
+
+ ?line {STRes617,S617} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList618 = [STRes617|STResList617],
+ ?line ITRes617 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S617),
+ ITResList618 = [ITRes617|ITResList617],
+
+
+ ?line {STRes618,S618} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList619 = [STRes618|STResList618],
+ ?line ITRes618 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S618),
+ ITResList619 = [ITRes618|ITResList618],
+
+
+ ?line {STRes619,S619} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList620 = [STRes619|STResList619],
+ ?line ITRes619 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S619),
+ ITResList620 = [ITRes619|ITResList619],
+
+
+ ?line {STRes620,S620} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList621 = [STRes620|STResList620],
+ ?line ITRes620 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S620),
+ ITResList621 = [ITRes620|ITResList620],
+
+
+ ?line {STRes621,S621} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList622 = [STRes621|STResList621],
+ ?line ITRes621 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S621),
+ ITResList622 = [ITRes621|ITResList621],
+
+
+ ?line {STRes622,S622} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList623 = [STRes622|STResList622],
+ ?line ITRes622 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S622),
+ ITResList623 = [ITRes622|ITResList622],
+
+
+ ?line {STRes623,S623} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList624 = [STRes623|STResList623],
+ ?line ITRes623 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S623),
+ ITResList624 = [ITRes623|ITResList623],
+
+
+ ?line {STRes624,S624} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList625 = [STRes624|STResList624],
+ ?line ITRes624 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S624),
+ ITResList625 = [ITRes624|ITResList624],
+
+
+ ?line {STRes625,S625} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList626 = [STRes625|STResList625],
+ ?line ITRes625 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S625),
+ ITResList626 = [ITRes625|ITResList625],
+
+
+ ?line {STRes626,S626} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList627 = [STRes626|STResList626],
+ ?line ITRes626 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S626),
+ ITResList627 = [ITRes626|ITResList626],
+
+
+ ?line {STRes627,S627} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList628 = [STRes627|STResList627],
+ ?line ITRes627 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S627),
+ ITResList628 = [ITRes627|ITResList627],
+
+
+ ?line {STRes628,S628} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList629 = [STRes628|STResList628],
+ ?line ITRes628 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S628),
+ ITResList629 = [ITRes628|ITResList628],
+
+
+ ?line {STRes629,S629} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList630 = [STRes629|STResList629],
+ ?line ITRes629 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S629),
+ ITResList630 = [ITRes629|ITResList629],
+
+
+ ?line {STRes630,S630} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList631 = [STRes630|STResList630],
+ ?line ITRes630 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S630),
+ ITResList631 = [ITRes630|ITResList630],
+
+
+ ?line {STRes631,S631} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList632 = [STRes631|STResList631],
+ ?line ITRes631 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S631),
+ ITResList632 = [ITRes631|ITResList631],
+
+
+ ?line {STRes632,S632} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList633 = [STRes632|STResList632],
+ ?line ITRes632 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S632),
+ ITResList633 = [ITRes632|ITResList632],
+
+
+ ?line {STRes633,S633} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList634 = [STRes633|STResList633],
+ ?line ITRes633 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedLong_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S633),
+ ITResList634 = [ITRes633|ITResList633],
+
+
+ ?line {STRes634,S634} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList635 = [STRes634|STResList634],
+ ?line ITRes634 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S634),
+ ITResList635 = [ITRes634|ITResList634],
+
+
+ ?line {STRes635,S635} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList636 = [STRes635|STResList635],
+ ?line ITRes635 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S635),
+ ITResList636 = [ITRes635|ITResList635],
+
+
+ ?line {STRes636,S636} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList637 = [STRes636|STResList636],
+ ?line ITRes636 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S636),
+ ITResList637 = [ITRes636|ITResList636],
+
+
+ ?line {STRes637,S637} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList638 = [STRes637|STResList637],
+ ?line ITRes637 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S637),
+ ITResList638 = [ITRes637|ITResList637],
+
+
+ ?line {STRes638,S638} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList639 = [STRes638|STResList638],
+ ?line ITRes638 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S638),
+ ITResList639 = [ITRes638|ITResList638],
+
+
+ ?line {STRes639,S639} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList640 = [STRes639|STResList639],
+ ?line ITRes639 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S639),
+ ITResList640 = [ITRes639|ITResList639],
+
+
+ ?line {STRes640,S640} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList641 = [STRes640|STResList640],
+ ?line ITRes640 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S640),
+ ITResList641 = [ITRes640|ITResList640],
+
+
+ ?line {STRes641,S641} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList642 = [STRes641|STResList641],
+ ?line ITRes641 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S641),
+ ITResList642 = [ITRes641|ITResList641],
+
+
+ ?line {STRes642,S642} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList643 = [STRes642|STResList642],
+ ?line ITRes642 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S642),
+ ITResList643 = [ITRes642|ITResList642],
+
+
+ ?line {STRes643,S643} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList644 = [STRes643|STResList643],
+ ?line ITRes643 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S643),
+ ITResList644 = [ITRes643|ITResList643],
+
+
+ ?line {STRes644,S644} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList645 = [STRes644|STResList644],
+ ?line ITRes644 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S644),
+ ITResList645 = [ITRes644|ITResList644],
+
+
+ ?line {STRes645,S645} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList646 = [STRes645|STResList645],
+ ?line ITRes645 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S645),
+ ITResList646 = [ITRes645|ITResList645],
+
+
+ ?line {STRes646,S646} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList647 = [STRes646|STResList646],
+ ?line ITRes646 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S646),
+ ITResList647 = [ITRes646|ITResList646],
+
+
+ ?line {STRes647,S647} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList648 = [STRes647|STResList647],
+ ?line ITRes647 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S647),
+ ITResList648 = [ITRes647|ITResList647],
+
+
+ ?line {STRes648,S648} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList649 = [STRes648|STResList648],
+ ?line ITRes648 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S648),
+ ITResList649 = [ITRes648|ITResList648],
+
+
+ ?line {STRes649,S649} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList650 = [STRes649|STResList649],
+ ?line ITRes649 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S649),
+ ITResList650 = [ITRes649|ITResList649],
+
+
+ ?line {STRes650,S650} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList651 = [STRes650|STResList650],
+ ?line ITRes650 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S650),
+ ITResList651 = [ITRes650|ITResList650],
+
+
+ ?line {STRes651,S651} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList652 = [STRes651|STResList651],
+ ?line ITRes651 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S651),
+ ITResList652 = [ITRes651|ITResList651],
+
+
+ ?line {STRes652,S652} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList653 = [STRes652|STResList652],
+ ?line ITRes652 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S652),
+ ITResList653 = [ITRes652|ITResList652],
+
+
+ ?line {STRes653,S653} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList654 = [STRes653|STResList653],
+ ?line ITRes653 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S653),
+ ITResList654 = [ITRes653|ITResList653],
+
+
+ ?line {STRes654,S654} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList655 = [STRes654|STResList654],
+ ?line ITRes654 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S654),
+ ITResList655 = [ITRes654|ITResList654],
+
+
+ ?line {STRes655,S655} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList656 = [STRes655|STResList655],
+ ?line ITRes655 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S655),
+ ITResList656 = [ITRes655|ITResList655],
+
+
+ ?line {STRes656,S656} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList657 = [STRes656|STResList656],
+ ?line ITRes656 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedInt_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S656),
+ ITResList657 = [ITRes656|ITResList656],
+
+
+ ?line {STRes657,S657} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList658 = [STRes657|STResList657],
+ ?line ITRes657 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S657),
+ ITResList658 = [ITRes657|ITResList657],
+
+
+ ?line {STRes658,S658} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList659 = [STRes658|STResList658],
+ ?line ITRes658 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S658),
+ ITResList659 = [ITRes658|ITResList658],
+
+
+ ?line {STRes659,S659} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList660 = [STRes659|STResList659],
+ ?line ITRes659 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S659),
+ ITResList660 = [ITRes659|ITResList659],
+
+
+ ?line {STRes660,S660} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList661 = [STRes660|STResList660],
+ ?line ITRes660 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S660),
+ ITResList661 = [ITRes660|ITResList660],
+
+
+ ?line {STRes661,S661} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList662 = [STRes661|STResList661],
+ ?line ITRes661 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S661),
+ ITResList662 = [ITRes661|ITResList661],
+
+
+ ?line {STRes662,S662} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList663 = [STRes662|STResList662],
+ ?line ITRes662 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S662),
+ ITResList663 = [ITRes662|ITResList662],
+
+
+ ?line {STRes663,S663} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList664 = [STRes663|STResList663],
+ ?line ITRes663 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S663),
+ ITResList664 = [ITRes663|ITResList663],
+
+
+ ?line {STRes664,S664} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList665 = [STRes664|STResList664],
+ ?line ITRes664 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S664),
+ ITResList665 = [ITRes664|ITResList664],
+
+
+ ?line {STRes665,S665} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList666 = [STRes665|STResList665],
+ ?line ITRes665 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S665),
+ ITResList666 = [ITRes665|ITResList665],
+
+
+ ?line {STRes666,S666} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList667 = [STRes666|STResList666],
+ ?line ITRes666 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S666),
+ ITResList667 = [ITRes666|ITResList666],
+
+
+ ?line {STRes667,S667} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList668 = [STRes667|STResList667],
+ ?line ITRes667 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S667),
+ ITResList668 = [ITRes667|ITResList667],
+
+
+ ?line {STRes668,S668} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList669 = [STRes668|STResList668],
+ ?line ITRes668 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S668),
+ ITResList669 = [ITRes668|ITResList668],
+
+
+ ?line {STRes669,S669} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList670 = [STRes669|STResList669],
+ ?line ITRes669 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S669),
+ ITResList670 = [ITRes669|ITResList669],
+
+
+ ?line {STRes670,S670} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList671 = [STRes670|STResList670],
+ ?line ITRes670 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S670),
+ ITResList671 = [ITRes670|ITResList670],
+
+
+ ?line {STRes671,S671} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList672 = [STRes671|STResList671],
+ ?line ITRes671 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S671),
+ ITResList672 = [ITRes671|ITResList671],
+
+
+ ?line {STRes672,S672} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList673 = [STRes672|STResList672],
+ ?line ITRes672 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S672),
+ ITResList673 = [ITRes672|ITResList672],
+
+
+ ?line {STRes673,S673} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList674 = [STRes673|STResList673],
+ ?line ITRes673 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S673),
+ ITResList674 = [ITRes673|ITResList673],
+
+
+ ?line {STRes674,S674} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList675 = [STRes674|STResList674],
+ ?line ITRes674 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S674),
+ ITResList675 = [ITRes674|ITResList674],
+
+
+ ?line {STRes675,S675} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList676 = [STRes675|STResList675],
+ ?line ITRes675 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S675),
+ ITResList676 = [ITRes675|ITResList675],
+
+
+ ?line {STRes676,S676} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList677 = [STRes676|STResList676],
+ ?line ITRes676 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S676),
+ ITResList677 = [ITRes676|ITResList676],
+
+
+ ?line {STRes677,S677} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList678 = [STRes677|STResList677],
+ ?line ITRes677 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S677),
+ ITResList678 = [ITRes677|ITResList677],
+
+
+ ?line {STRes678,S678} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList679 = [STRes678|STResList678],
+ ?line ITRes678 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S678),
+ ITResList679 = [ITRes678|ITResList678],
+
+
+ ?line {STRes679,S679} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList680 = [STRes679|STResList679],
+ ?line ITRes679 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedShort_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S679),
+ ITResList680 = [ITRes679|ITResList679],
+
+
+ ?line {STRes680,S680} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList681 = [STRes680|STResList680],
+ ?line ITRes680 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S680),
+ ITResList681 = [ITRes680|ITResList680],
+
+
+ ?line {STRes681,S681} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList682 = [STRes681|STResList681],
+ ?line ITRes681 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S681),
+ ITResList682 = [ITRes681|ITResList681],
+
+
+ ?line {STRes682,S682} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList683 = [STRes682|STResList682],
+ ?line ITRes682 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S682),
+ ITResList683 = [ITRes682|ITResList682],
+
+
+ ?line {STRes683,S683} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList684 = [STRes683|STResList683],
+ ?line ITRes683 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S683),
+ ITResList684 = [ITRes683|ITResList683],
+
+
+ ?line {STRes684,S684} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList685 = [STRes684|STResList684],
+ ?line ITRes684 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S684),
+ ITResList685 = [ITRes684|ITResList684],
+
+
+ ?line {STRes685,S685} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList686 = [STRes685|STResList685],
+ ?line ITRes685 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S685),
+ ITResList686 = [ITRes685|ITResList685],
+
+
+ ?line {STRes686,S686} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList687 = [STRes686|STResList686],
+ ?line ITRes686 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S686),
+ ITResList687 = [ITRes686|ITResList686],
+
+
+ ?line {STRes687,S687} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList688 = [STRes687|STResList687],
+ ?line ITRes687 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S687),
+ ITResList688 = [ITRes687|ITResList687],
+
+
+ ?line {STRes688,S688} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList689 = [STRes688|STResList688],
+ ?line ITRes688 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S688),
+ ITResList689 = [ITRes688|ITResList688],
+
+
+ ?line {STRes689,S689} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList690 = [STRes689|STResList689],
+ ?line ITRes689 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S689),
+ ITResList690 = [ITRes689|ITResList689],
+
+
+ ?line {STRes690,S690} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList691 = [STRes690|STResList690],
+ ?line ITRes690 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S690),
+ ITResList691 = [ITRes690|ITResList690],
+
+
+ ?line {STRes691,S691} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList692 = [STRes691|STResList691],
+ ?line ITRes691 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S691),
+ ITResList692 = [ITRes691|ITResList691],
+
+
+ ?line {STRes692,S692} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList693 = [STRes692|STResList692],
+ ?line ITRes692 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S692),
+ ITResList693 = [ITRes692|ITResList692],
+
+
+ ?line {STRes693,S693} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList694 = [STRes693|STResList693],
+ ?line ITRes693 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S693),
+ ITResList694 = [ITRes693|ITResList693],
+
+
+ ?line {STRes694,S694} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList695 = [STRes694|STResList694],
+ ?line ITRes694 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S694),
+ ITResList695 = [ITRes694|ITResList694],
+
+
+ ?line {STRes695,S695} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList696 = [STRes695|STResList695],
+ ?line ITRes695 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S695),
+ ITResList696 = [ITRes695|ITResList695],
+
+
+ ?line {STRes696,S696} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList697 = [STRes696|STResList696],
+ ?line ITRes696 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S696),
+ ITResList697 = [ITRes696|ITResList696],
+
+
+ ?line {STRes697,S697} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList698 = [STRes697|STResList697],
+ ?line ITRes697 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S697),
+ ITResList698 = [ITRes697|ITResList697],
+
+
+ ?line {STRes698,S698} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList699 = [STRes698|STResList698],
+ ?line ITRes698 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S698),
+ ITResList699 = [ITRes698|ITResList698],
+
+
+ ?line {STRes699,S699} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList700 = [STRes699|STResList699],
+ ?line ITRes699 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S699),
+ ITResList700 = [ITRes699|ITResList699],
+
+
+ ?line {STRes700,S700} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList701 = [STRes700|STResList700],
+ ?line ITRes700 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S700),
+ ITResList701 = [ITRes700|ITResList700],
+
+
+ ?line {STRes701,S701} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList702 = [STRes701|STResList701],
+ ?line ITRes701 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S701),
+ ITResList702 = [ITRes701|ITResList701],
+
+
+ ?line {STRes702,S702} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList703 = [STRes702|STResList702],
+ ?line ITRes702 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_unsignedByte_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S702),
+ ITResList703 = [ITRes702|ITResList702],
+
+
+ ?line {STRes703,S703} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList704 = [STRes703|STResList703],
+ ?line ITRes703 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S703),
+ ITResList704 = [ITRes703|ITResList703],
+
+
+ ?line {STRes704,S704} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList705 = [STRes704|STResList704],
+ ?line ITRes704 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S704),
+ ITResList705 = [ITRes704|ITResList704],
+
+
+ ?line {STRes705,S705} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList706 = [STRes705|STResList705],
+ ?line ITRes705 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S705),
+ ITResList706 = [ITRes705|ITResList705],
+
+
+ ?line {STRes706,S706} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList707 = [STRes706|STResList706],
+ ?line ITRes706 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S706),
+ ITResList707 = [ITRes706|ITResList706],
+
+
+ ?line {STRes707,S707} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList708 = [STRes707|STResList707],
+ ?line ITRes707 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S707),
+ ITResList708 = [ITRes707|ITResList707],
+
+
+ ?line {STRes708,S708} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList709 = [STRes708|STResList708],
+ ?line ITRes708 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S708),
+ ITResList709 = [ITRes708|ITResList708],
+
+
+ ?line {STRes709,S709} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList710 = [STRes709|STResList709],
+ ?line ITRes709 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S709),
+ ITResList710 = [ITRes709|ITResList709],
+
+
+ ?line {STRes710,S710} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList711 = [STRes710|STResList710],
+ ?line ITRes710 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S710),
+ ITResList711 = [ITRes710|ITResList710],
+
+
+ ?line {STRes711,S711} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList712 = [STRes711|STResList711],
+ ?line ITRes711 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S711),
+ ITResList712 = [ITRes711|ITResList711],
+
+
+ ?line {STRes712,S712} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList713 = [STRes712|STResList712],
+ ?line ITRes712 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S712),
+ ITResList713 = [ITRes712|ITResList712],
+
+
+ ?line {STRes713,S713} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList714 = [STRes713|STResList713],
+ ?line ITRes713 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S713),
+ ITResList714 = [ITRes713|ITResList713],
+
+
+ ?line {STRes714,S714} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList715 = [STRes714|STResList714],
+ ?line ITRes714 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S714),
+ ITResList715 = [ITRes714|ITResList714],
+
+
+ ?line {STRes715,S715} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList716 = [STRes715|STResList715],
+ ?line ITRes715 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S715),
+ ITResList716 = [ITRes715|ITResList715],
+
+
+ ?line {STRes716,S716} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList717 = [STRes716|STResList716],
+ ?line ITRes716 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S716),
+ ITResList717 = [ITRes716|ITResList716],
+
+
+ ?line {STRes717,S717} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList718 = [STRes717|STResList717],
+ ?line ITRes717 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S717),
+ ITResList718 = [ITRes717|ITResList717],
+
+
+ ?line {STRes718,S718} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList719 = [STRes718|STResList718],
+ ?line ITRes718 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S718),
+ ITResList719 = [ITRes718|ITResList718],
+
+
+ ?line {STRes719,S719} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList720 = [STRes719|STResList719],
+ ?line ITRes719 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S719),
+ ITResList720 = [ITRes719|ITResList719],
+
+
+ ?line {STRes720,S720} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList721 = [STRes720|STResList720],
+ ?line ITRes720 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S720),
+ ITResList721 = [ITRes720|ITResList720],
+
+
+ ?line {STRes721,S721} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList722 = [STRes721|STResList721],
+ ?line ITRes721 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S721),
+ ITResList722 = [ITRes721|ITResList721],
+
+
+ ?line {STRes722,S722} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList723 = [STRes722|STResList722],
+ ?line ITRes722 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S722),
+ ITResList723 = [ITRes722|ITResList722],
+
+
+ ?line {STRes723,S723} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList724 = [STRes723|STResList723],
+ ?line ITRes723 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S723),
+ ITResList724 = [ITRes723|ITResList723],
+
+
+ ?line {STRes724,S724} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList725 = [STRes724|STResList724],
+ ?line ITRes724 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S724),
+ ITResList725 = [ITRes724|ITResList724],
+
+
+ ?line {STRes725,S725} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList726 = [STRes725|STResList725],
+ ?line ITRes725 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S725),
+ ITResList726 = [ITRes725|ITResList725],
+
+
+ ?line {STRes726,S726} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList727 = [STRes726|STResList726],
+ ?line ITRes726 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_double.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S726),
+ ITResList727 = [ITRes726|ITResList726],
+
+
+ ?line {STRes727,S727} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList728 = [STRes727|STResList727],
+ ?line ITRes727 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_double_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S727),
+ ITResList728 = [ITRes727|ITResList727],
+
+
+ ?line {STRes728,S728} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList729 = [STRes728|STResList728],
+ ?line ITRes728 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S728),
+ ITResList729 = [ITRes728|ITResList728],
+
+
+ ?line {STRes729,S729} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList730 = [STRes729|STResList729],
+ ?line ITRes729 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S729),
+ ITResList730 = [ITRes729|ITResList729],
+
+
+ ?line {STRes730,S730} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList731 = [STRes730|STResList730],
+ ?line ITRes730 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S730),
+ ITResList731 = [ITRes730|ITResList730],
+
+
+ ?line {STRes731,S731} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList732 = [STRes731|STResList731],
+ ?line ITRes731 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S731),
+ ITResList732 = [ITRes731|ITResList731],
+
+
+ ?line {STRes732,S732} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList733 = [STRes732|STResList732],
+ ?line ITRes732 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S732),
+ ITResList733 = [ITRes732|ITResList732],
+
+
+ ?line {STRes733,S733} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList734 = [STRes733|STResList733],
+ ?line ITRes733 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S733),
+ ITResList734 = [ITRes733|ITResList733],
+
+
+ ?line {STRes734,S734} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList735 = [STRes734|STResList734],
+ ?line ITRes734 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S734),
+ ITResList735 = [ITRes734|ITResList734],
+
+
+ ?line {STRes735,S735} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList736 = [STRes735|STResList735],
+ ?line ITRes735 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S735),
+ ITResList736 = [ITRes735|ITResList735],
+
+
+ ?line {STRes736,S736} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList737 = [STRes736|STResList736],
+ ?line ITRes736 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S736),
+ ITResList737 = [ITRes736|ITResList736],
+
+
+ ?line {STRes737,S737} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList738 = [STRes737|STResList737],
+ ?line ITRes737 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S737),
+ ITResList738 = [ITRes737|ITResList737],
+
+
+ ?line {STRes738,S738} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList739 = [STRes738|STResList738],
+ ?line ITRes738 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S738),
+ ITResList739 = [ITRes738|ITResList738],
+
+
+ ?line {STRes739,S739} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList740 = [STRes739|STResList739],
+ ?line ITRes739 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S739),
+ ITResList740 = [ITRes739|ITResList739],
+
+
+ ?line {STRes740,S740} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_base64Binary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList741 = [STRes740|STResList740],
+ ?line ITRes740 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_base64Binary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S740),
+ ITResList741 = [ITRes740|ITResList740],
+
+
+ ?line {STRes741,S741} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_hexBinary.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList742 = [STRes741|STResList741],
+ ?line ITRes741 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_hexBinary.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S741),
+ ITResList742 = [ITRes741|ITResList741],
+
+
+ ?line {STRes742,S742} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_float.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList743 = [STRes742|STResList742],
+ ?line ITRes742 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_float.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S742),
+ ITResList743 = [ITRes742|ITResList742],
+
+
+ ?line {STRes743,S743} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_decimal.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList744 = [STRes743|STResList743],
+ ?line ITRes743 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_decimal.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S743),
+ ITResList744 = [ITRes743|ITResList743],
+
+
+ ?line {STRes744,S744} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_integer.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList745 = [STRes744|STResList744],
+ ?line ITRes744 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_integer.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S744),
+ ITResList745 = [ITRes744|ITResList744],
+
+
+ ?line {STRes745,S745} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_nonPositiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList746 = [STRes745|STResList745],
+ ?line ITRes745 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_nonPositiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S745),
+ ITResList746 = [ITRes745|ITResList745],
+
+
+ ?line {STRes746,S746} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_negativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList747 = [STRes746|STResList746],
+ ?line ITRes746 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_negativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S746),
+ ITResList747 = [ITRes746|ITResList746],
+
+
+ ?line {STRes747,S747} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_long.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList748 = [STRes747|STResList747],
+ ?line ITRes747 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_long.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S747),
+ ITResList748 = [ITRes747|ITResList747],
+
+
+ ?line {STRes748,S748} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_int.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList749 = [STRes748|STResList748],
+ ?line ITRes748 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_int.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S748),
+ ITResList749 = [ITRes748|ITResList748],
+
+
+ ?line {STRes749,S749} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_short.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList750 = [STRes749|STResList749],
+ ?line ITRes749 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_short.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S749),
+ ITResList750 = [ITRes749|ITResList749],
+
+
+ ?line {STRes750,S750} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_byte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList751 = [STRes750|STResList750],
+ ?line ITRes750 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_byte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S750),
+ ITResList751 = [ITRes750|ITResList750],
+
+
+ ?line {STRes751,S751} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_nonNegativeInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList752 = [STRes751|STResList751],
+ ?line ITRes751 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_nonNegativeInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S751),
+ ITResList752 = [ITRes751|ITResList751],
+
+
+ ?line {STRes752,S752} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_positiveInteger.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList753 = [STRes752|STResList752],
+ ?line ITRes752 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_positiveInteger.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S752),
+ ITResList753 = [ITRes752|ITResList752],
+
+
+ ?line {STRes753,S753} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedLong.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList754 = [STRes753|STResList753],
+ ?line ITRes753 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedLong.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S753),
+ ITResList754 = [ITRes753|ITResList753],
+
+
+ ?line {STRes754,S754} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedInt.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList755 = [STRes754|STResList754],
+ ?line ITRes754 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedInt.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S754),
+ ITResList755 = [ITRes754|ITResList754],
+
+
+ ?line {STRes755,S755} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedShort.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList756 = [STRes755|STResList755],
+ ?line ITRes755 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedShort.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S755),
+ ITResList756 = [ITRes755|ITResList755],
+
+
+ ?line {STRes756,S756} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedByte.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList757 = [STRes756|STResList756],
+ ?line ITRes756 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_unsignedByte.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S756),
+ ITResList757 = [ITRes756|ITResList756],
+
+
+ ?line {STRes757,S757} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_double.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList758 = [STRes757|STResList757],
+ ?line ITRes757 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_double.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S757),
+ ITResList758 = [ITRes757|ITResList757],
+
+
+ ?line {STRes758,S758} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList759 = [STRes758|STResList758],
+ ?line ITRes758 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S758),
+ ITResList759 = [ITRes758|ITResList758],
+
+
+ ?line {STRes759,S759} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList760 = [STRes759|STResList759],
+ ?line ITRes759 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S759),
+ ITResList760 = [ITRes759|ITResList759],
+
+
+ ?line {STRes760,S760} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList761 = [STRes760|STResList760],
+ ?line ITRes760 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S760),
+ ITResList761 = [ITRes760|ITResList760],
+
+
+ ?line {STRes761,S761} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList762 = [STRes761|STResList761],
+ ?line ITRes761 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S761),
+ ITResList762 = [ITRes761|ITResList761],
+
+
+ ?line {STRes762,S762} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList763 = [STRes762|STResList762],
+ ?line ITRes762 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S762),
+ ITResList763 = [ITRes762|ITResList762],
+
+
+ ?line {STRes763,S763} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList764 = [STRes763|STResList763],
+ ?line ITRes763 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_time.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S763),
+ ITResList764 = [ITRes763|ITResList763],
+
+
+ ?line {STRes764,S764} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList765 = [STRes764|STResList764],
+ ?line ITRes764 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S764),
+ ITResList765 = [ITRes764|ITResList764],
+
+
+ ?line {STRes765,S765} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList766 = [STRes765|STResList765],
+ ?line ITRes765 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S765),
+ ITResList766 = [ITRes765|ITResList765],
+
+
+ ?line {STRes766,S766} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList767 = [STRes766|STResList766],
+ ?line ITRes766 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S766),
+ ITResList767 = [ITRes766|ITResList766],
+
+
+ ?line {STRes767,S767} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList768 = [STRes767|STResList767],
+ ?line ITRes767 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S767),
+ ITResList768 = [ITRes767|ITResList767],
+
+
+ ?line {STRes768,S768} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList769 = [STRes768|STResList768],
+ ?line ITRes768 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S768),
+ ITResList769 = [ITRes768|ITResList768],
+
+
+ ?line {STRes769,S769} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList770 = [STRes769|STResList769],
+ ?line ITRes769 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_anyURI_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S769),
+ ITResList770 = [ITRes769|ITResList769],
+
+
+ ?line {STRes770,S770} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList771 = [STRes770|STResList770],
+ ?line ITRes770 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S770),
+ ITResList771 = [ITRes770|ITResList770],
+
+
+ ?line {STRes771,S771} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList772 = [STRes771|STResList771],
+ ?line ITRes771 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S771),
+ ITResList772 = [ITRes771|ITResList771],
+
+
+ ?line {STRes772,S772} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList773 = [STRes772|STResList772],
+ ?line ITRes772 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S772),
+ ITResList773 = [ITRes772|ITResList772],
+
+
+ ?line {STRes773,S773} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList774 = [STRes773|STResList773],
+ ?line ITRes773 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S773),
+ ITResList774 = [ITRes773|ITResList773],
+
+
+ ?line {STRes774,S774} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList775 = [STRes774|STResList774],
+ ?line ITRes774 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S774),
+ ITResList775 = [ITRes774|ITResList774],
+
+
+ ?line {STRes775,S775} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList776 = [STRes775|STResList775],
+ ?line ITRes775 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S775),
+ ITResList776 = [ITRes775|ITResList775],
+
+
+ ?line {STRes776,S776} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList777 = [STRes776|STResList776],
+ ?line ITRes776 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S776),
+ ITResList777 = [ITRes776|ITResList776],
+
+
+ ?line {STRes777,S777} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList778 = [STRes777|STResList777],
+ ?line ITRes777 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S777),
+ ITResList778 = [ITRes777|ITResList777],
+
+
+ ?line {STRes778,S778} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList779 = [STRes778|STResList778],
+ ?line ITRes778 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S778),
+ ITResList779 = [ITRes778|ITResList778],
+
+
+ ?line {STRes779,S779} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList780 = [STRes779|STResList779],
+ ?line ITRes779 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S779),
+ ITResList780 = [ITRes779|ITResList779],
+
+
+ ?line {STRes780,S780} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList781 = [STRes780|STResList780],
+ ?line ITRes780 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S780),
+ ITResList781 = [ITRes780|ITResList780],
+
+
+ ?line {STRes781,S781} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList782 = [STRes781|STResList781],
+ ?line ITRes781 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S781),
+ ITResList782 = [ITRes781|ITResList781],
+
+
+ ?line {STRes782,S782} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList783 = [STRes782|STResList782],
+ ?line ITRes782 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S782),
+ ITResList783 = [ITRes782|ITResList782],
+
+
+ ?line {STRes783,S783} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList784 = [STRes783|STResList783],
+ ?line ITRes783 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S783),
+ ITResList784 = [ITRes783|ITResList783],
+
+
+ ?line {STRes784,S784} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList785 = [STRes784|STResList784],
+ ?line ITRes784 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_QName_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S784),
+ ITResList785 = [ITRes784|ITResList784],
+
+
+ ?line {STRes785,S785} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList786 = [STRes785|STResList785],
+ ?line ITRes785 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S785),
+ ITResList786 = [ITRes785|ITResList785],
+
+
+ ?line {STRes786,S786} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList787 = [STRes786|STResList786],
+ ?line ITRes786 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S786),
+ ITResList787 = [ITRes786|ITResList786],
+
+
+ ?line {STRes787,S787} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList788 = [STRes787|STResList787],
+ ?line ITRes787 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S787),
+ ITResList788 = [ITRes787|ITResList787],
+
+
+ ?line {STRes788,S788} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_language.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList789 = [STRes788|STResList788],
+ ?line ITRes788 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_language.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S788),
+ ITResList789 = [ITRes788|ITResList788],
+
+
+ ?line {STRes789,S789} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList790 = [STRes789|STResList789],
+ ?line ITRes789 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S789),
+ ITResList790 = [ITRes789|ITResList789],
+
+
+ ?line {STRes790,S790} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList791 = [STRes790|STResList790],
+ ?line ITRes790 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S790),
+ ITResList791 = [ITRes790|ITResList790],
+
+
+ ?line {STRes791,S791} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList792 = [STRes791|STResList791],
+ ?line ITRes791 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S791),
+ ITResList792 = [ITRes791|ITResList791],
+
+
+ ?line {STRes792,S792} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList793 = [STRes792|STResList792],
+ ?line ITRes792 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S792),
+ ITResList793 = [ITRes792|ITResList792],
+
+
+ ?line {STRes793,S793} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList794 = [STRes793|STResList793],
+ ?line ITRes793 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S793),
+ ITResList794 = [ITRes793|ITResList793],
+
+
+ ?line {STRes794,S794} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList795 = [STRes794|STResList794],
+ ?line ITRes794 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S794),
+ ITResList795 = [ITRes794|ITResList794],
+
+
+ ?line {STRes795,S795} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList796 = [STRes795|STResList795],
+ ?line ITRes795 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S795),
+ ITResList796 = [ITRes795|ITResList795],
+
+
+ ?line {STRes796,S796} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_boolean.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList797 = [STRes796|STResList796],
+ ?line ITRes796 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_boolean.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S796),
+ ITResList797 = [ITRes796|ITResList796],
+
+
+ ?line {STRes797,S797} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList798 = [STRes797|STResList797],
+ ?line ITRes797 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S797),
+ ITResList798 = [ITRes797|ITResList797],
+
+
+ ?line {STRes798,S798} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList799 = [STRes798|STResList798],
+ ?line ITRes798 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S798),
+ ITResList799 = [ITRes798|ITResList798],
+
+
+ ?line {STRes799,S799} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList800 = [STRes799|STResList799],
+ ?line ITRes799 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_NOTATION_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S799),
+ ITResList800 = [ITRes799|ITResList799],
+
+
+ ?line {STRes800,S800} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList801 = [STRes800|STResList800],
+ ?line ITRes800 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S800),
+ ITResList801 = [ITRes800|ITResList800],
+
+
+ ?line {STRes801,S801} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList802 = [STRes801|STResList801],
+ ?line ITRes801 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S801),
+ ITResList802 = [ITRes801|ITResList801],
+
+
+ ?line {STRes802,S802} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList803 = [STRes802|STResList802],
+ ?line ITRes802 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S802),
+ ITResList803 = [ITRes802|ITResList802],
+
+
+ ?line {STRes803,S803} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_Name.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList804 = [STRes803|STResList803],
+ ?line ITRes803 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_Name.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S803),
+ ITResList804 = [ITRes803|ITResList803],
+
+
+ ?line {STRes804,S804} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NCName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList805 = [STRes804|STResList804],
+ ?line ITRes804 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NCName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S804),
+ ITResList805 = [ITRes804|ITResList804],
+
+
+ ?line {STRes805,S805} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_ID.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList806 = [STRes805|STResList805],
+ ?line ITRes805 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_ID.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S805),
+ ITResList806 = [ITRes805|ITResList805],
+
+
+ ?line {STRes806,S806} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_IDREF.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList807 = [STRes806|STResList806],
+ ?line ITRes806 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_IDREF.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S806),
+ ITResList807 = [ITRes806|ITResList806],
+
+
+ ?line {STRes807,S807} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_IDREFS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList808 = [STRes807|STResList807],
+ ?line ITRes807 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_IDREFS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S807),
+ ITResList808 = [ITRes807|ITResList807],
+
+
+ ?line {STRes808,S808} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList809 = [STRes808|STResList808],
+ ?line ITRes808 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S808),
+ ITResList809 = [ITRes808|ITResList808],
+
+
+ ?line {STRes809,S809} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList810 = [STRes809|STResList809],
+ ?line ITRes809 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S809),
+ ITResList810 = [ITRes809|ITResList809],
+
+
+ ?line {STRes810,S810} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList811 = [STRes810|STResList810],
+ ?line ITRes810 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S810),
+ ITResList811 = [ITRes810|ITResList810],
+
+
+ ?line {STRes811,S811} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_QName.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList812 = [STRes811|STResList811],
+ ?line ITRes811 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_QName.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S811),
+ ITResList812 = [ITRes811|ITResList811],
+
+
+ ?line {STRes812,S812} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NOTATION.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList813 = [STRes812|STResList812],
+ ?line ITRes812 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_NOTATION.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S812),
+ ITResList813 = [ITRes812|ITResList812],
+
+
+ ?line {STRes813,S813} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList814 = [STRes813|STResList813],
+ ?line ITRes813 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S813),
+ ITResList814 = [ITRes813|ITResList813],
+
+
+ ?line {STRes814,S814} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList815 = [STRes814|STResList814],
+ ?line ITRes814 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S814),
+ ITResList815 = [ITRes814|ITResList814],
+
+
+ ?line {STRes815,S815} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList816 = [STRes815|STResList815],
+ ?line ITRes815 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_time.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S815),
+ ITResList816 = [ITRes815|ITResList815],
+
+
+ ?line {STRes816,S816} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList817 = [STRes816|STResList816],
+ ?line ITRes816 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S816),
+ ITResList817 = [ITRes816|ITResList816],
+
+
+ ?line {STRes817,S817} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList818 = [STRes817|STResList817],
+ ?line ITRes817 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S817),
+ ITResList818 = [ITRes817|ITResList817],
+
+
+ ?line {STRes818,S818} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList819 = [STRes818|STResList818],
+ ?line ITRes818 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S818),
+ ITResList819 = [ITRes818|ITResList818],
+
+
+ ?line {STRes819,S819} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList820 = [STRes819|STResList819],
+ ?line ITRes819 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S819),
+ ITResList820 = [ITRes819|ITResList819],
+
+
+ ?line {STRes820,S820} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList821 = [STRes820|STResList820],
+ ?line ITRes820 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S820),
+ ITResList821 = [ITRes820|ITResList820],
+
+
+ ?line {STRes821,S821} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList822 = [STRes821|STResList821],
+ ?line ITRes821 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_duration_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S821),
+ ITResList822 = [ITRes821|ITResList821],
+
+
+ ?line {STRes822,S822} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList823 = [STRes822|STResList822],
+ ?line ITRes822 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S822),
+ ITResList823 = [ITRes822|ITResList822],
+
+
+ ?line {STRes823,S823} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList824 = [STRes823|STResList823],
+ ?line ITRes823 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S823),
+ ITResList824 = [ITRes823|ITResList823],
+
+
+ ?line {STRes824,S824} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList825 = [STRes824|STResList824],
+ ?line ITRes824 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S824),
+ ITResList825 = [ITRes824|ITResList824],
+
+
+ ?line {STRes825,S825} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList826 = [STRes825|STResList825],
+ ?line ITRes825 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S825),
+ ITResList826 = [ITRes825|ITResList825],
+
+
+ ?line {STRes826,S826} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList827 = [STRes826|STResList826],
+ ?line ITRes826 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S826),
+ ITResList827 = [ITRes826|ITResList826],
+
+
+ ?line {STRes827,S827} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList828 = [STRes827|STResList827],
+ ?line ITRes827 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S827),
+ ITResList828 = [ITRes827|ITResList827],
+
+
+ ?line {STRes828,S828} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList829 = [STRes828|STResList828],
+ ?line ITRes828 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S828),
+ ITResList829 = [ITRes828|ITResList828],
+
+
+ ?line {STRes829,S829} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList830 = [STRes829|STResList829],
+ ?line ITRes829 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S829),
+ ITResList830 = [ITRes829|ITResList829],
+
+
+ ?line {STRes830,S830} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList831 = [STRes830|STResList830],
+ ?line ITRes830 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_dateTime_date.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S830),
+ ITResList831 = [ITRes830|ITResList830],
+
+
+ ?line {STRes831,S831} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList832 = [STRes831|STResList831],
+ ?line ITRes831 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S831),
+ ITResList832 = [ITRes831|ITResList831],
+
+
+ ?line {STRes832,S832} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList833 = [STRes832|STResList832],
+ ?line ITRes832 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S832),
+ ITResList833 = [ITRes832|ITResList832],
+
+
+ ?line {STRes833,S833} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList834 = [STRes833|STResList833],
+ ?line ITRes833 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S833),
+ ITResList834 = [ITRes833|ITResList833],
+
+
+ ?line {STRes834,S834} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList835 = [STRes834|STResList834],
+ ?line ITRes834 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S834),
+ ITResList835 = [ITRes834|ITResList834],
+
+
+ ?line {STRes835,S835} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList836 = [STRes835|STResList835],
+ ?line ITRes835 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S835),
+ ITResList836 = [ITRes835|ITResList835],
+
+
+ ?line {STRes836,S836} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList837 = [STRes836|STResList836],
+ ?line ITRes836 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S836),
+ ITResList837 = [ITRes836|ITResList836],
+
+
+ ?line {STRes837,S837} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList838 = [STRes837|STResList837],
+ ?line ITRes837 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S837),
+ ITResList838 = [ITRes837|ITResList837],
+
+
+ ?line {STRes838,S838} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_time.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList839 = [STRes838|STResList838],
+ ?line ITRes838 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_time_time.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S838),
+ ITResList839 = [ITRes838|ITResList838],
+
+
+ ?line {STRes839,S839} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList840 = [STRes839|STResList839],
+ ?line ITRes839 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S839),
+ ITResList840 = [ITRes839|ITResList839],
+
+
+ ?line {STRes840,S840} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList841 = [STRes840|STResList840],
+ ?line ITRes840 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S840),
+ ITResList841 = [ITRes840|ITResList840],
+
+
+ ?line {STRes841,S841} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList842 = [STRes841|STResList841],
+ ?line ITRes841 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S841),
+ ITResList842 = [ITRes841|ITResList841],
+
+
+ ?line {STRes842,S842} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList843 = [STRes842|STResList842],
+ ?line ITRes842 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S842),
+ ITResList843 = [ITRes842|ITResList842],
+
+
+ ?line {STRes843,S843} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList844 = [STRes843|STResList843],
+ ?line ITRes843 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S843),
+ ITResList844 = [ITRes843|ITResList843],
+
+
+ ?line {STRes844,S844} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList845 = [STRes844|STResList844],
+ ?line ITRes844 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S844),
+ ITResList845 = [ITRes844|ITResList844],
+
+
+ ?line {STRes845,S845} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList846 = [STRes845|STResList845],
+ ?line ITRes845 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S845),
+ ITResList846 = [ITRes845|ITResList845],
+
+
+ ?line {STRes846,S846} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_dateTime.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList847 = [STRes846|STResList846],
+ ?line ITRes846 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_dateTime.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S846),
+ ITResList847 = [ITRes846|ITResList846],
+
+
+ ?line {STRes847,S847} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_date.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList848 = [STRes847|STResList847],
+ ?line ITRes847 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_date_date.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S847),
+ ITResList848 = [ITRes847|ITResList847],
+
+
+ ?line {STRes848,S848} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList849 = [STRes848|STResList848],
+ ?line ITRes848 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S848),
+ ITResList849 = [ITRes848|ITResList848],
+
+
+ ?line {STRes849,S849} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList850 = [STRes849|STResList849],
+ ?line ITRes849 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S849),
+ ITResList850 = [ITRes849|ITResList849],
+
+
+ ?line {STRes850,S850} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList851 = [STRes850|STResList850],
+ ?line ITRes850 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S850),
+ ITResList851 = [ITRes850|ITResList850],
+
+
+ ?line {STRes851,S851} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList852 = [STRes851|STResList851],
+ ?line ITRes851 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S851),
+ ITResList852 = [ITRes851|ITResList851],
+
+
+ ?line {STRes852,S852} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList853 = [STRes852|STResList852],
+ ?line ITRes852 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S852),
+ ITResList853 = [ITRes852|ITResList852],
+
+
+ ?line {STRes853,S853} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList854 = [STRes853|STResList853],
+ ?line ITRes853 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S853),
+ ITResList854 = [ITRes853|ITResList853],
+
+
+ ?line {STRes854,S854} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList855 = [STRes854|STResList854],
+ ?line ITRes854 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S854),
+ ITResList855 = [ITRes854|ITResList854],
+
+
+ ?line {STRes855,S855} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_gYearMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList856 = [STRes855|STResList855],
+ ?line ITRes855 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYearMonth_gYearMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S855),
+ ITResList856 = [ITRes855|ITResList855],
+
+
+ ?line {STRes856,S856} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList857 = [STRes856|STResList856],
+ ?line ITRes856 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S856),
+ ITResList857 = [ITRes856|ITResList856],
+
+
+ ?line {STRes857,S857} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList858 = [STRes857|STResList857],
+ ?line ITRes857 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S857),
+ ITResList858 = [ITRes857|ITResList857],
+
+
+ ?line {STRes858,S858} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList859 = [STRes858|STResList858],
+ ?line ITRes858 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S858),
+ ITResList859 = [ITRes858|ITResList858],
+
+
+ ?line {STRes859,S859} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList860 = [STRes859|STResList859],
+ ?line ITRes859 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S859),
+ ITResList860 = [ITRes859|ITResList859],
+
+
+ ?line {STRes860,S860} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList861 = [STRes860|STResList860],
+ ?line ITRes860 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S860),
+ ITResList861 = [ITRes860|ITResList860],
+
+
+ ?line {STRes861,S861} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList862 = [STRes861|STResList861],
+ ?line ITRes861 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S861),
+ ITResList862 = [ITRes861|ITResList861],
+
+
+ ?line {STRes862,S862} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList863 = [STRes862|STResList862],
+ ?line ITRes862 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S862),
+ ITResList863 = [ITRes862|ITResList862],
+
+
+ ?line {STRes863,S863} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_gYear.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList864 = [STRes863|STResList863],
+ ?line ITRes863 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gYear_gYear.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S863),
+ ITResList864 = [ITRes863|ITResList863],
+
+
+ ?line {STRes864,S864} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList865 = [STRes864|STResList864],
+ ?line ITRes864 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S864),
+ ITResList865 = [ITRes864|ITResList864],
+
+
+ ?line {STRes865,S865} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList866 = [STRes865|STResList865],
+ ?line ITRes865 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S865),
+ ITResList866 = [ITRes865|ITResList865],
+
+
+ ?line {STRes866,S866} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList867 = [STRes866|STResList866],
+ ?line ITRes866 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S866),
+ ITResList867 = [ITRes866|ITResList866],
+
+
+ ?line {STRes867,S867} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList868 = [STRes867|STResList867],
+ ?line ITRes867 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S867),
+ ITResList868 = [ITRes867|ITResList867],
+
+
+ ?line {STRes868,S868} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList869 = [STRes868|STResList868],
+ ?line ITRes868 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S868),
+ ITResList869 = [ITRes868|ITResList868],
+
+
+ ?line {STRes869,S869} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList870 = [STRes869|STResList869],
+ ?line ITRes869 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S869),
+ ITResList870 = [ITRes869|ITResList869],
+
+
+ ?line {STRes870,S870} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList871 = [STRes870|STResList870],
+ ?line ITRes870 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S870),
+ ITResList871 = [ITRes870|ITResList870],
+
+
+ ?line {STRes871,S871} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_gMonthDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList872 = [STRes871|STResList871],
+ ?line ITRes871 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonthDay_gMonthDay.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S871),
+ ITResList872 = [ITRes871|ITResList871],
+
+
+ ?line {STRes872,S872} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList873 = [STRes872|STResList872],
+ ?line ITRes872 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S872),
+ ITResList873 = [ITRes872|ITResList872],
+
+
+ ?line {STRes873,S873} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList874 = [STRes873|STResList873],
+ ?line ITRes873 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S873),
+ ITResList874 = [ITRes873|ITResList873],
+
+
+ ?line {STRes874,S874} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList875 = [STRes874|STResList874],
+ ?line ITRes874 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S874),
+ ITResList875 = [ITRes874|ITResList874],
+
+
+ ?line {STRes875,S875} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList876 = [STRes875|STResList875],
+ ?line ITRes875 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S875),
+ ITResList876 = [ITRes875|ITResList875],
+
+
+ ?line {STRes876,S876} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList877 = [STRes876|STResList876],
+ ?line ITRes876 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S876),
+ ITResList877 = [ITRes876|ITResList876],
+
+
+ ?line {STRes877,S877} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList878 = [STRes877|STResList877],
+ ?line ITRes877 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S877),
+ ITResList878 = [ITRes877|ITResList877],
+
+
+ ?line {STRes878,S878} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList879 = [STRes878|STResList878],
+ ?line ITRes878 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S878),
+ ITResList879 = [ITRes878|ITResList878],
+
+
+ ?line {STRes879,S879} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_gDay.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList880 = [STRes879|STResList879],
+ ?line ITRes879 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gDay_gDay.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S879),
+ ITResList880 = [ITRes879|ITResList879],
+
+
+ ?line {STRes880,S880} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_string.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList881 = [STRes880|STResList880],
+ ?line ITRes880 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_string.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S880),
+ ITResList881 = [ITRes880|ITResList880],
+
+
+ ?line {STRes881,S881} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_normalizedString.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList882 = [STRes881|STResList881],
+ ?line ITRes881 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_normalizedString.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S881),
+ ITResList882 = [ITRes881|ITResList881],
+
+
+ ?line {STRes882,S882} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_token.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList883 = [STRes882|STResList882],
+ ?line ITRes882 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_token.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S882),
+ ITResList883 = [ITRes882|ITResList882],
+
+
+ ?line {STRes883,S883} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_NMTOKEN.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList884 = [STRes883|STResList883],
+ ?line ITRes883 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_NMTOKEN.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S883),
+ ITResList884 = [ITRes883|ITResList883],
+
+
+ ?line {STRes884,S884} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_NMTOKENS.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList885 = [STRes884|STResList884],
+ ?line ITRes884 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_NMTOKENS.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S884),
+ ITResList885 = [ITRes884|ITResList884],
+
+
+ ?line {STRes885,S885} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_anyURI.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList886 = [STRes885|STResList885],
+ ?line ITRes885 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_anyURI.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S885),
+ ITResList886 = [ITRes885|ITResList885],
+
+
+ ?line {STRes886,S886} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_duration.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList887 = [STRes886|STResList886],
+ ?line ITRes886 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_duration.xml','./msxsdtest/identityConstraint/idc_datatypes',invalid,S886),
+ ITResList887 = [ITRes886|ITResList886],
+
+
+ ?line {STRes887,S887} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_gMonth.xsd','./msxsdtest/identityConstraint/idc_datatypes',valid),
+ STResList888 = [STRes887|STResList887],
+ ?line ITRes887 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idc_datatypes/idc_gMonth_gMonth.xml','./msxsdtest/identityConstraint/idc_datatypes',valid,S887),
+ ITResList888 = [ITRes887|ITResList887],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList888,ITResList888).
+
+%% Identity-constraint Definition Schema Component.
+%% Identity-constraint Validation Rules.
+%% Selector identity-constraint xpath bnf.
+%% Field identity-constraint xpath bnf.
+%% XPath validation.
+%% Bug Regressions
+
+id(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA002.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA003.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA004.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA005.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA006.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA007.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA016.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA017.xsd','./msxsdtest/identityConstraint',valid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA018.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA019.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA020.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA021.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA023.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA024.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA025.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA026.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA027.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA028.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA029.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA030.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA031.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA032.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA033.xsd','./msxsdtest/identityConstraint',valid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA035.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA036.xsd','./msxsdtest/identityConstraint',valid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA037.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA038.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA039.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA040.xsd','./msxsdtest/identityConstraint',valid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA041.xsd','./msxsdtest/identityConstraint',valid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA042.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA043.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA044.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA045.xsd','./msxsdtest/identityConstraint',valid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA046.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA047.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA048.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA049.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA050.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA051.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA052.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA053.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA054.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA055.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA056.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA057.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA058.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA059.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idA060.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList60 = [STRes59|STResList59],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB002.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB003.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList63 = [STRes62|STResList62],
+
+
+ ?line {STRes63,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB004.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList64 = [STRes63|STResList63],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB005.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB006.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList66 = [STRes65|STResList65],
+
+
+ ?line {STRes66,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB007.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList67 = [STRes66|STResList66],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList69 = [STRes68|STResList68],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB016.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB017.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB018.xsd','./msxsdtest/identityConstraint',valid),
+ STResList78 = [STRes77|STResList77],
+
+
+ ?line {STRes78,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB019.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList79 = [STRes78|STResList78],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB020.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB021.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList81 = [STRes80|STResList80],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB023.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB024.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB025.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB026.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB027.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ ?line {STRes87,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB028.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList88 = [STRes87|STResList87],
+
+
+ ?line {STRes88,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB029.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList89 = [STRes88|STResList88],
+
+
+ ?line {STRes89,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB030.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList90 = [STRes89|STResList89],
+
+
+ ?line {STRes90,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB031.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList91 = [STRes90|STResList90],
+
+
+ ?line {STRes91,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB032.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList92 = [STRes91|STResList91],
+
+
+ ?line {STRes92,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB033.xsd','./msxsdtest/identityConstraint',valid),
+ STResList93 = [STRes92|STResList92],
+
+
+ ?line {STRes93,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList94 = [STRes93|STResList93],
+
+
+ ?line {STRes94,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB035.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList95 = [STRes94|STResList94],
+
+
+ ?line {STRes95,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB036.xsd','./msxsdtest/identityConstraint',valid),
+ STResList96 = [STRes95|STResList95],
+
+
+ ?line {STRes96,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB037.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList97 = [STRes96|STResList96],
+
+
+ ?line {STRes97,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB038.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList98 = [STRes97|STResList97],
+
+
+ ?line {STRes98,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB039.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList99 = [STRes98|STResList98],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB040.xsd','./msxsdtest/identityConstraint',valid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB041.xsd','./msxsdtest/identityConstraint',valid),
+ STResList101 = [STRes100|STResList100],
+
+
+ ?line {STRes101,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB042.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList102 = [STRes101|STResList101],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB043.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB044.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB045.xsd','./msxsdtest/identityConstraint',valid),
+ STResList105 = [STRes104|STResList104],
+
+
+ ?line {STRes105,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB046.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList106 = [STRes105|STResList105],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB047.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB048.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList108 = [STRes107|STResList107],
+
+
+ ?line {STRes108,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB049.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList109 = [STRes108|STResList108],
+
+
+ ?line {STRes109,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB050.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList110 = [STRes109|STResList109],
+
+
+ ?line {STRes110,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB051.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList111 = [STRes110|STResList110],
+
+
+ ?line {STRes111,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB052.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList112 = [STRes111|STResList111],
+
+
+ ?line {STRes112,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB053.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList113 = [STRes112|STResList112],
+
+
+ ?line {STRes113,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB054.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList114 = [STRes113|STResList113],
+
+
+ ?line {STRes114,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB055.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList115 = [STRes114|STResList114],
+
+
+ ?line {STRes115,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB056.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList116 = [STRes115|STResList115],
+
+
+ ?line {STRes116,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB057.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList117 = [STRes116|STResList116],
+
+
+ ?line {STRes117,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB058.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList118 = [STRes117|STResList117],
+
+
+ ?line {STRes118,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB059.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList119 = [STRes118|STResList118],
+
+
+ ?line {STRes119,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idB060.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList120 = [STRes119|STResList119],
+
+
+ ?line {STRes120,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList121 = [STRes120|STResList120],
+
+
+ ?line {STRes121,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC002.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList122 = [STRes121|STResList121],
+
+
+ ?line {STRes122,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC003.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList123 = [STRes122|STResList122],
+
+
+ ?line {STRes123,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC004.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList124 = [STRes123|STResList123],
+
+
+ ?line {STRes124,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC005.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList125 = [STRes124|STResList124],
+
+
+ ?line {STRes125,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC006.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList126 = [STRes125|STResList125],
+
+
+ ?line {STRes126,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC007.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList127 = [STRes126|STResList126],
+
+
+ ?line {STRes127,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList128 = [STRes127|STResList127],
+
+
+ ?line {STRes128,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList129 = [STRes128|STResList128],
+
+
+ ?line {STRes129,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList130 = [STRes129|STResList129],
+
+
+ ?line {STRes130,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList131 = [STRes130|STResList130],
+
+
+ ?line {STRes131,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList132 = [STRes131|STResList131],
+
+
+ ?line {STRes132,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList133 = [STRes132|STResList132],
+
+
+ ?line {STRes133,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList134 = [STRes133|STResList133],
+
+
+ ?line {STRes134,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList135 = [STRes134|STResList134],
+
+
+ ?line {STRes135,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC016.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList136 = [STRes135|STResList135],
+
+
+ ?line {STRes136,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC017.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList137 = [STRes136|STResList136],
+
+
+ ?line {STRes137,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC018.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList138 = [STRes137|STResList137],
+
+
+ ?line {STRes138,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC019.xsd','./msxsdtest/identityConstraint',valid),
+ STResList139 = [STRes138|STResList138],
+
+
+ ?line {STRes139,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC020.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList140 = [STRes139|STResList139],
+
+
+ ?line {STRes140,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC021.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList141 = [STRes140|STResList140],
+
+
+ ?line {STRes141,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList142 = [STRes141|STResList141],
+
+
+ ?line {STRes142,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC023.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList143 = [STRes142|STResList142],
+
+
+ ?line {STRes143,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC024.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList144 = [STRes143|STResList143],
+
+
+ ?line {STRes144,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC025.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList145 = [STRes144|STResList144],
+
+
+ ?line {STRes145,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC026.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList146 = [STRes145|STResList145],
+
+
+ ?line {STRes146,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC027.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList147 = [STRes146|STResList146],
+
+
+ ?line {STRes147,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC028.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList148 = [STRes147|STResList147],
+
+
+ ?line {STRes148,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC029.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList149 = [STRes148|STResList148],
+
+
+ ?line {STRes149,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC030.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList150 = [STRes149|STResList149],
+
+
+ ?line {STRes150,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC031.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList151 = [STRes150|STResList150],
+
+
+ ?line {STRes151,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC032.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList152 = [STRes151|STResList151],
+
+
+ ?line {STRes152,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC033.xsd','./msxsdtest/identityConstraint',valid),
+ STResList153 = [STRes152|STResList152],
+
+
+ ?line {STRes153,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList154 = [STRes153|STResList153],
+
+
+ ?line {STRes154,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC035.xsd','./msxsdtest/identityConstraint',valid),
+ STResList155 = [STRes154|STResList154],
+
+
+ ?line {STRes155,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC036.xsd','./msxsdtest/identityConstraint',valid),
+ STResList156 = [STRes155|STResList155],
+
+
+ ?line {STRes156,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC038.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList157 = [STRes156|STResList156],
+
+
+ ?line {STRes157,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC039.xsd','./msxsdtest/identityConstraint',valid),
+ STResList158 = [STRes157|STResList157],
+
+
+ ?line {STRes158,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC040.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList159 = [STRes158|STResList158],
+
+
+ ?line {STRes159,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC041.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList160 = [STRes159|STResList159],
+
+
+ ?line {STRes160,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC042.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList161 = [STRes160|STResList160],
+
+
+ ?line {STRes161,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC043.xsd','./msxsdtest/identityConstraint',valid),
+ STResList162 = [STRes161|STResList161],
+
+
+ ?line {STRes162,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC044.xsd','./msxsdtest/identityConstraint',valid),
+ STResList163 = [STRes162|STResList162],
+
+
+ ?line {STRes163,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC045.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList164 = [STRes163|STResList163],
+
+
+ ?line {STRes164,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC046.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList165 = [STRes164|STResList164],
+
+
+ ?line {STRes165,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC047.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList166 = [STRes165|STResList165],
+
+
+ ?line {STRes166,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC048.xsd','./msxsdtest/identityConstraint',valid),
+ STResList167 = [STRes166|STResList166],
+
+
+ ?line {STRes167,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC049.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList168 = [STRes167|STResList167],
+
+
+ ?line {STRes168,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC050.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList169 = [STRes168|STResList168],
+
+
+ ?line {STRes169,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC051.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList170 = [STRes169|STResList169],
+
+
+ ?line {STRes170,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC052.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList171 = [STRes170|STResList170],
+
+
+ ?line {STRes171,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC053.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList172 = [STRes171|STResList171],
+
+
+ ?line {STRes172,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC054.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList173 = [STRes172|STResList172],
+
+
+ ?line {STRes173,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC055.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList174 = [STRes173|STResList173],
+
+
+ ?line {STRes174,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC056.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList175 = [STRes174|STResList174],
+
+
+ ?line {STRes175,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC057.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList176 = [STRes175|STResList175],
+
+
+ ?line {STRes176,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC058.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList177 = [STRes176|STResList176],
+
+
+ ?line {STRes177,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC059.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList178 = [STRes177|STResList177],
+
+
+ ?line {STRes178,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC060.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList179 = [STRes178|STResList178],
+
+
+ ?line {STRes179,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC061.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList180 = [STRes179|STResList179],
+
+
+ ?line {STRes180,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC062.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList181 = [STRes180|STResList180],
+
+
+ ?line {STRes181,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idC063.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList182 = [STRes181|STResList181],
+
+
+ ?line {STRes182,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList183 = [STRes182|STResList182],
+
+
+ ?line {STRes183,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD002.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList184 = [STRes183|STResList183],
+
+
+ ?line {STRes184,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD003.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList185 = [STRes184|STResList184],
+
+
+ ?line {STRes185,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD004.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList186 = [STRes185|STResList185],
+
+
+ ?line {STRes186,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD005.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList187 = [STRes186|STResList186],
+
+
+ ?line {STRes187,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD006.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList188 = [STRes187|STResList187],
+
+
+ ?line {STRes188,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD007.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList189 = [STRes188|STResList188],
+
+
+ ?line {STRes189,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList190 = [STRes189|STResList189],
+
+
+ ?line {STRes190,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList191 = [STRes190|STResList190],
+
+
+ ?line {STRes191,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList192 = [STRes191|STResList191],
+
+
+ ?line {STRes192,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD011.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList193 = [STRes192|STResList192],
+
+
+ ?line {STRes193,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD012.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList194 = [STRes193|STResList193],
+
+
+ ?line {STRes194,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList195 = [STRes194|STResList194],
+
+
+ ?line {STRes195,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD014.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList196 = [STRes195|STResList195],
+
+
+ ?line {STRes196,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList197 = [STRes196|STResList196],
+
+
+ ?line {STRes197,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD016.xsd','./msxsdtest/identityConstraint',valid),
+ STResList198 = [STRes197|STResList197],
+
+
+ ?line {STRes198,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD017.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList199 = [STRes198|STResList198],
+
+
+ ?line {STRes199,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD018.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList200 = [STRes199|STResList199],
+
+
+ ?line {STRes200,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD019.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList201 = [STRes200|STResList200],
+
+
+ ?line {STRes201,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD020.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList202 = [STRes201|STResList201],
+
+
+ ?line {STRes202,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD021.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList203 = [STRes202|STResList202],
+
+
+ ?line {STRes203,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD022.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList204 = [STRes203|STResList203],
+
+
+ ?line {STRes204,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD023.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList205 = [STRes204|STResList204],
+
+
+ ?line {STRes205,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD024.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList206 = [STRes205|STResList205],
+
+
+ ?line {STRes206,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD025.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList207 = [STRes206|STResList206],
+
+
+ ?line {STRes207,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD026.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList208 = [STRes207|STResList207],
+
+
+ ?line {STRes208,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD027.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList209 = [STRes208|STResList208],
+
+
+ ?line {STRes209,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD028.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList210 = [STRes209|STResList209],
+
+
+ ?line {STRes210,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD029.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList211 = [STRes210|STResList210],
+
+
+ ?line {STRes211,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD030.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList212 = [STRes211|STResList211],
+
+
+ ?line {STRes212,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD031.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList213 = [STRes212|STResList212],
+
+
+ ?line {STRes213,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD032.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList214 = [STRes213|STResList213],
+
+
+ ?line {STRes214,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idD033.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList215 = [STRes214|STResList214],
+
+
+ ?line {STRes215,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList216 = [STRes215|STResList215],
+
+
+ ?line {STRes216,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE002.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList217 = [STRes216|STResList216],
+
+
+ ?line {STRes217,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE003.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList218 = [STRes217|STResList217],
+
+
+ ?line {STRes218,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE004.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList219 = [STRes218|STResList218],
+
+
+ ?line {STRes219,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE005.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList220 = [STRes219|STResList219],
+
+
+ ?line {STRes220,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE006.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList221 = [STRes220|STResList220],
+
+
+ ?line {STRes221,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE007.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList222 = [STRes221|STResList221],
+
+
+ ?line {STRes222,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList223 = [STRes222|STResList222],
+
+
+ ?line {STRes223,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList224 = [STRes223|STResList223],
+
+
+ ?line {STRes224,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList225 = [STRes224|STResList224],
+
+
+ ?line {STRes225,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE011.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList226 = [STRes225|STResList225],
+
+
+ ?line {STRes226,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE012.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList227 = [STRes226|STResList226],
+
+
+ ?line {STRes227,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList228 = [STRes227|STResList227],
+
+
+ ?line {STRes228,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE014.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList229 = [STRes228|STResList228],
+
+
+ ?line {STRes229,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList230 = [STRes229|STResList229],
+
+
+ ?line {STRes230,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE016.xsd','./msxsdtest/identityConstraint',valid),
+ STResList231 = [STRes230|STResList230],
+
+
+ ?line {STRes231,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE017.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList232 = [STRes231|STResList231],
+
+
+ ?line {STRes232,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE018.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList233 = [STRes232|STResList232],
+
+
+ ?line {STRes233,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE019.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList234 = [STRes233|STResList233],
+
+
+ ?line {STRes234,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE020.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList235 = [STRes234|STResList234],
+
+
+ ?line {STRes235,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE021.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList236 = [STRes235|STResList235],
+
+
+ ?line {STRes236,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE022.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList237 = [STRes236|STResList236],
+
+
+ ?line {STRes237,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE023.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList238 = [STRes237|STResList237],
+
+
+ ?line {STRes238,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE024.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList239 = [STRes238|STResList238],
+
+
+ ?line {STRes239,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE025.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList240 = [STRes239|STResList239],
+
+
+ ?line {STRes240,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE026.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList241 = [STRes240|STResList240],
+
+
+ ?line {STRes241,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE027.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList242 = [STRes241|STResList241],
+
+
+ ?line {STRes242,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE028.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList243 = [STRes242|STResList242],
+
+
+ ?line {STRes243,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE029.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList244 = [STRes243|STResList243],
+
+
+ ?line {STRes244,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE030.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList245 = [STRes244|STResList244],
+
+
+ ?line {STRes245,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE031.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList246 = [STRes245|STResList245],
+
+
+ ?line {STRes246,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE032.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList247 = [STRes246|STResList246],
+
+
+ ?line {STRes247,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idE033.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList248 = [STRes247|STResList247],
+
+
+ ?line {STRes248,S248} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList249 = [STRes248|STResList248],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF001.xml','./msxsdtest/identityConstraint',valid,S248),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes249,S249} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF003.xsd','./msxsdtest/identityConstraint',valid),
+ STResList250 = [STRes249|STResList249],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF003.xml','./msxsdtest/identityConstraint',valid,S249),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes250,S250} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF004.xsd','./msxsdtest/identityConstraint',valid),
+ STResList251 = [STRes250|STResList250],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF004.xml','./msxsdtest/identityConstraint',valid,S250),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes251,S251} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF005.xsd','./msxsdtest/identityConstraint',valid),
+ STResList252 = [STRes251|STResList251],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF005.xml','./msxsdtest/identityConstraint',invalid,S251),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes252,S252} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF006.xsd','./msxsdtest/identityConstraint',valid),
+ STResList253 = [STRes252|STResList252],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF006.xml','./msxsdtest/identityConstraint',invalid,S252),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes253,S253} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF007.xsd','./msxsdtest/identityConstraint',valid),
+ STResList254 = [STRes253|STResList253],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF007.xml','./msxsdtest/identityConstraint',valid,S253),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes254,S254} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList255 = [STRes254|STResList254],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF008.xml','./msxsdtest/identityConstraint',invalid,S254),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes255,S255} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList256 = [STRes255|STResList255],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF009.xml','./msxsdtest/identityConstraint',valid,S255),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes256,S256} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList257 = [STRes256|STResList256],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF010.xml','./msxsdtest/identityConstraint',invalid,S256),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes257,S257} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList258 = [STRes257|STResList257],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF011.xml','./msxsdtest/identityConstraint',valid,S257),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes258,S258} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList259 = [STRes258|STResList258],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF012.xml','./msxsdtest/identityConstraint',valid,S258),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes259,S259} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList260 = [STRes259|STResList259],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF013.xml','./msxsdtest/identityConstraint',valid,S259),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes260,S260} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList261 = [STRes260|STResList260],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF014.xml','./msxsdtest/identityConstraint',valid,S260),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes261,S261} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList262 = [STRes261|STResList261],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF015.xml','./msxsdtest/identityConstraint',invalid,S261),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes262,S262} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF016.xsd','./msxsdtest/identityConstraint',valid),
+ STResList263 = [STRes262|STResList262],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF016.xml','./msxsdtest/identityConstraint',invalid,S262),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes263,S263} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF017.xsd','./msxsdtest/identityConstraint',valid),
+ STResList264 = [STRes263|STResList263],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF017.xml','./msxsdtest/identityConstraint',invalid,S263),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes264,S264} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF018.xsd','./msxsdtest/identityConstraint',valid),
+ STResList265 = [STRes264|STResList264],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF018.xml','./msxsdtest/identityConstraint',invalid,S264),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes265,S265} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF019.xsd','./msxsdtest/identityConstraint',valid),
+ STResList266 = [STRes265|STResList265],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF019.xml','./msxsdtest/identityConstraint',valid,S265),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes266,S266} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF020.xsd','./msxsdtest/identityConstraint',valid),
+ STResList267 = [STRes266|STResList266],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF020.xml','./msxsdtest/identityConstraint',valid,S266),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes267,S267} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF021.xsd','./msxsdtest/identityConstraint',valid),
+ STResList268 = [STRes267|STResList267],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF021.xml','./msxsdtest/identityConstraint',valid,S267),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes268,S268} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList269 = [STRes268|STResList268],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF022.xml','./msxsdtest/identityConstraint',valid,S268),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes269,S269} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF023.xsd','./msxsdtest/identityConstraint',valid),
+ STResList270 = [STRes269|STResList269],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF023.xml','./msxsdtest/identityConstraint',valid,S269),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes270,S270} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF024.xsd','./msxsdtest/identityConstraint',valid),
+ STResList271 = [STRes270|STResList270],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF024.xml','./msxsdtest/identityConstraint',valid,S270),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes271,S271} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF025.xsd','./msxsdtest/identityConstraint',valid),
+ STResList272 = [STRes271|STResList271],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF025.xml','./msxsdtest/identityConstraint',valid,S271),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes272,S272} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF026.xsd','./msxsdtest/identityConstraint',valid),
+ STResList273 = [STRes272|STResList272],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF026.xml','./msxsdtest/identityConstraint',valid,S272),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes273,S273} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF027.xsd','./msxsdtest/identityConstraint',valid),
+ STResList274 = [STRes273|STResList273],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF027.xml','./msxsdtest/identityConstraint',valid,S273),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes274,S274} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF028.xsd','./msxsdtest/identityConstraint',valid),
+ STResList275 = [STRes274|STResList274],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF028.xml','./msxsdtest/identityConstraint',valid,S274),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes275,S275} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF029.xsd','./msxsdtest/identityConstraint',valid),
+ STResList276 = [STRes275|STResList275],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF029.xml','./msxsdtest/identityConstraint',valid,S275),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes276,S276} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF030.xsd','./msxsdtest/identityConstraint',valid),
+ STResList277 = [STRes276|STResList276],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF030.xml','./msxsdtest/identityConstraint',valid,S276),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes277,S277} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF031.xsd','./msxsdtest/identityConstraint',valid),
+ STResList278 = [STRes277|STResList277],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF031.xml','./msxsdtest/identityConstraint',valid,S277),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes278,S278} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF032.xsd','./msxsdtest/identityConstraint',valid),
+ STResList279 = [STRes278|STResList278],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF032.xml','./msxsdtest/identityConstraint',valid,S278),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes279,S279} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF033.xsd','./msxsdtest/identityConstraint',valid),
+ STResList280 = [STRes279|STResList279],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF033.xml','./msxsdtest/identityConstraint',valid,S279),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes280,S280} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList281 = [STRes280|STResList280],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF034.xml','./msxsdtest/identityConstraint',valid,S280),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes281,S281} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF035.xsd','./msxsdtest/identityConstraint',valid),
+ STResList282 = [STRes281|STResList281],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF035.xml','./msxsdtest/identityConstraint',valid,S281),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes282,S282} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idF036.xsd','./msxsdtest/identityConstraint',valid),
+ STResList283 = [STRes282|STResList282],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idF036.xml','./msxsdtest/identityConstraint',valid,S282),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes283,S283} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList284 = [STRes283|STResList283],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG001.xml','./msxsdtest/identityConstraint',valid,S283),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes284,S284} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG003.xsd','./msxsdtest/identityConstraint',valid),
+ STResList285 = [STRes284|STResList284],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG003.xml','./msxsdtest/identityConstraint',invalid,S284),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes285,S285} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG004.xsd','./msxsdtest/identityConstraint',valid),
+ STResList286 = [STRes285|STResList285],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG004.xml','./msxsdtest/identityConstraint',valid,S285),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes286,S286} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG005.xsd','./msxsdtest/identityConstraint',valid),
+ STResList287 = [STRes286|STResList286],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG005.xml','./msxsdtest/identityConstraint',invalid,S286),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes287,S287} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG006.xsd','./msxsdtest/identityConstraint',valid),
+ STResList288 = [STRes287|STResList287],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG006.xml','./msxsdtest/identityConstraint',invalid,S287),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes288,S288} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG007.xsd','./msxsdtest/identityConstraint',valid),
+ STResList289 = [STRes288|STResList288],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG007.xml','./msxsdtest/identityConstraint',valid,S288),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes289,S289} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList290 = [STRes289|STResList289],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG008.xml','./msxsdtest/identityConstraint',invalid,S289),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes290,S290} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList291 = [STRes290|STResList290],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG009.xml','./msxsdtest/identityConstraint',invalid,S290),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes291,S291} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList292 = [STRes291|STResList291],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG010.xml','./msxsdtest/identityConstraint',invalid,S291),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes292,S292} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList293 = [STRes292|STResList292],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG011.xml','./msxsdtest/identityConstraint',invalid,S292),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes293,S293} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList294 = [STRes293|STResList293],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG012.xml','./msxsdtest/identityConstraint',invalid,S293),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes294,S294} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList295 = [STRes294|STResList294],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG013.xml','./msxsdtest/identityConstraint',valid,S294),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes295,S295} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList296 = [STRes295|STResList295],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG014.xml','./msxsdtest/identityConstraint',valid,S295),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes296,S296} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList297 = [STRes296|STResList296],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG015.xml','./msxsdtest/identityConstraint',valid,S296),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes297,S297} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG016.xsd','./msxsdtest/identityConstraint',valid),
+ STResList298 = [STRes297|STResList297],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG016.xml','./msxsdtest/identityConstraint',valid,S297),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes298,S298} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG017.xsd','./msxsdtest/identityConstraint',valid),
+ STResList299 = [STRes298|STResList298],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG017.xml','./msxsdtest/identityConstraint',valid,S298),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes299,S299} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG018.xsd','./msxsdtest/identityConstraint',valid),
+ STResList300 = [STRes299|STResList299],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG018.xml','./msxsdtest/identityConstraint',valid,S299),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes300,S300} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG019.xsd','./msxsdtest/identityConstraint',valid),
+ STResList301 = [STRes300|STResList300],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG019.xml','./msxsdtest/identityConstraint',valid,S300),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes301,S301} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG020.xsd','./msxsdtest/identityConstraint',valid),
+ STResList302 = [STRes301|STResList301],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG020.xml','./msxsdtest/identityConstraint',invalid,S301),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes302,S302} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG021.xsd','./msxsdtest/identityConstraint',valid),
+ STResList303 = [STRes302|STResList302],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG021.xml','./msxsdtest/identityConstraint',valid,S302),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes303,S303} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList304 = [STRes303|STResList303],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG022.xml','./msxsdtest/identityConstraint',valid,S303),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes304,S304} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG023.xsd','./msxsdtest/identityConstraint',valid),
+ STResList305 = [STRes304|STResList304],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG023.xml','./msxsdtest/identityConstraint',valid,S304),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes305,S305} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG024.xsd','./msxsdtest/identityConstraint',valid),
+ STResList306 = [STRes305|STResList305],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG024.xml','./msxsdtest/identityConstraint',valid,S305),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes306,S306} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG025.xsd','./msxsdtest/identityConstraint',valid),
+ STResList307 = [STRes306|STResList306],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG025.xml','./msxsdtest/identityConstraint',invalid,S306),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes307,S307} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG026.xsd','./msxsdtest/identityConstraint',valid),
+ STResList308 = [STRes307|STResList307],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG026.xml','./msxsdtest/identityConstraint',valid,S307),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes308,S308} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG027.xsd','./msxsdtest/identityConstraint',valid),
+ STResList309 = [STRes308|STResList308],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG027.xml','./msxsdtest/identityConstraint',valid,S308),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes309,S309} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG028.xsd','./msxsdtest/identityConstraint',valid),
+ STResList310 = [STRes309|STResList309],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG028.xml','./msxsdtest/identityConstraint',valid,S309),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes310,S310} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG029.xsd','./msxsdtest/identityConstraint',valid),
+ STResList311 = [STRes310|STResList310],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG029.xml','./msxsdtest/identityConstraint',valid,S310),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes311,S311} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idG030.xsd','./msxsdtest/identityConstraint',valid),
+ STResList312 = [STRes311|STResList311],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idG030.xml','./msxsdtest/identityConstraint',valid,S311),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes312,S312} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList313 = [STRes312|STResList312],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH001.xml','./msxsdtest/identityConstraint',valid,S312),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes313,S313} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH003.xsd','./msxsdtest/identityConstraint',valid),
+ STResList314 = [STRes313|STResList313],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH003.xml','./msxsdtest/identityConstraint',valid,S313),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes314,S314} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH004.xsd','./msxsdtest/identityConstraint',valid),
+ STResList315 = [STRes314|STResList314],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH004.xml','./msxsdtest/identityConstraint',valid,S314),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes315,S315} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH005.xsd','./msxsdtest/identityConstraint',valid),
+ STResList316 = [STRes315|STResList315],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH005.xml','./msxsdtest/identityConstraint',invalid,S315),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes316,S316} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH006.xsd','./msxsdtest/identityConstraint',valid),
+ STResList317 = [STRes316|STResList316],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH006.xml','./msxsdtest/identityConstraint',invalid,S316),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes317,S317} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH007.xsd','./msxsdtest/identityConstraint',valid),
+ STResList318 = [STRes317|STResList317],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH007.xml','./msxsdtest/identityConstraint',valid,S317),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes318,S318} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList319 = [STRes318|STResList318],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH008.xml','./msxsdtest/identityConstraint',valid,S318),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes319,S319} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList320 = [STRes319|STResList319],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH009.xml','./msxsdtest/identityConstraint',valid,S319),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes320,S320} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList321 = [STRes320|STResList320],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH010.xml','./msxsdtest/identityConstraint',invalid,S320),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes321,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH011.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList322 = [STRes321|STResList321],
+
+
+ ?line {STRes322,S322} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList323 = [STRes322|STResList322],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH012.xml','./msxsdtest/identityConstraint',invalid,S322),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes323,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH013.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList324 = [STRes323|STResList323],
+
+
+ ?line {STRes324,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH014.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList325 = [STRes324|STResList324],
+
+
+ ?line {STRes325,S325} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList326 = [STRes325|STResList325],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH015.xml','./msxsdtest/identityConstraint',valid,S325),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes326,S326} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH016.xsd','./msxsdtest/identityConstraint',valid),
+ STResList327 = [STRes326|STResList326],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH016.xml','./msxsdtest/identityConstraint',valid,S326),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes327,S327} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH017.xsd','./msxsdtest/identityConstraint',valid),
+ STResList328 = [STRes327|STResList327],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH017.xml','./msxsdtest/identityConstraint',valid,S327),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes328,S328} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH018.xsd','./msxsdtest/identityConstraint',valid),
+ STResList329 = [STRes328|STResList328],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH018.xml','./msxsdtest/identityConstraint',valid,S328),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes329,S329} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH019.xsd','./msxsdtest/identityConstraint',valid),
+ STResList330 = [STRes329|STResList329],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH019.xml','./msxsdtest/identityConstraint',valid,S329),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes330,S330} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH020.xsd','./msxsdtest/identityConstraint',valid),
+ STResList331 = [STRes330|STResList330],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH020.xml','./msxsdtest/identityConstraint',valid,S330),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes331,S331} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH021.xsd','./msxsdtest/identityConstraint',valid),
+ STResList332 = [STRes331|STResList331],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH021.xml','./msxsdtest/identityConstraint',valid,S331),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes332,S332} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList333 = [STRes332|STResList332],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH022.xml','./msxsdtest/identityConstraint',valid,S332),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes333,S333} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH023.xsd','./msxsdtest/identityConstraint',valid),
+ STResList334 = [STRes333|STResList333],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH023.xml','./msxsdtest/identityConstraint',valid,S333),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes334,S334} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH024.xsd','./msxsdtest/identityConstraint',valid),
+ STResList335 = [STRes334|STResList334],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH024.xml','./msxsdtest/identityConstraint',valid,S334),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes335,S335} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH025.xsd','./msxsdtest/identityConstraint',valid),
+ STResList336 = [STRes335|STResList335],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH025.xml','./msxsdtest/identityConstraint',valid,S335),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes336,S336} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH026.xsd','./msxsdtest/identityConstraint',valid),
+ STResList337 = [STRes336|STResList336],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH026.xml','./msxsdtest/identityConstraint',valid,S336),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes337,S337} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH027.xsd','./msxsdtest/identityConstraint',valid),
+ STResList338 = [STRes337|STResList337],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH027.xml','./msxsdtest/identityConstraint',valid,S337),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes338,S338} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH028.xsd','./msxsdtest/identityConstraint',valid),
+ STResList339 = [STRes338|STResList338],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH028.xml','./msxsdtest/identityConstraint',valid,S338),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes339,S339} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH029.xsd','./msxsdtest/identityConstraint',valid),
+ STResList340 = [STRes339|STResList339],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH029.xml','./msxsdtest/identityConstraint',valid,S339),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes340,S340} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH030.xsd','./msxsdtest/identityConstraint',valid),
+ STResList341 = [STRes340|STResList340],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH030.xml','./msxsdtest/identityConstraint',valid,S340),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes341,S341} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH031.xsd','./msxsdtest/identityConstraint',valid),
+ STResList342 = [STRes341|STResList341],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH031.xml','./msxsdtest/identityConstraint',valid,S341),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes342,S342} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH032.xsd','./msxsdtest/identityConstraint',valid),
+ STResList343 = [STRes342|STResList342],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH032.xml','./msxsdtest/identityConstraint',valid,S342),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes343,S343} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList344 = [STRes343|STResList343],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idH034.xml','./msxsdtest/identityConstraint',valid,S343),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes344,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idH035.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList345 = [STRes344|STResList344],
+
+
+ ?line {STRes345,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI001.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList346 = [STRes345|STResList345],
+
+
+ ?line {STRes346,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI002.xsd','./msxsdtest/identityConstraint',valid),
+ STResList347 = [STRes346|STResList346],
+
+
+ ?line {STRes347,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI003.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList348 = [STRes347|STResList347],
+
+
+ ?line {STRes348,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI004.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList349 = [STRes348|STResList348],
+
+
+ ?line {STRes349,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI005.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList350 = [STRes349|STResList349],
+
+
+ ?line {STRes350,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI006.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList351 = [STRes350|STResList350],
+
+
+ ?line {STRes351,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI007.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList352 = [STRes351|STResList351],
+
+
+ ?line {STRes352,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList353 = [STRes352|STResList352],
+
+
+ ?line {STRes353,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList354 = [STRes353|STResList353],
+
+
+ ?line {STRes354,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI010.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList355 = [STRes354|STResList354],
+
+
+ ?line {STRes355,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList356 = [STRes355|STResList355],
+
+
+ ?line {STRes356,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList357 = [STRes356|STResList356],
+
+
+ ?line {STRes357,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList358 = [STRes357|STResList357],
+
+
+ ?line {STRes358,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI014.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList359 = [STRes358|STResList358],
+
+
+ ?line {STRes359,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI015.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList360 = [STRes359|STResList359],
+
+
+ ?line {STRes360,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI016.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList361 = [STRes360|STResList360],
+
+
+ ?line {STRes361,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI017.xsd','./msxsdtest/identityConstraint',valid),
+ STResList362 = [STRes361|STResList361],
+
+
+ ?line {STRes362,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI018.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList363 = [STRes362|STResList362],
+
+
+ ?line {STRes363,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI019.xsd','./msxsdtest/identityConstraint',valid),
+ STResList364 = [STRes363|STResList363],
+
+
+ ?line {STRes364,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI020.xsd','./msxsdtest/identityConstraint',valid),
+ STResList365 = [STRes364|STResList364],
+
+
+ ?line {STRes365,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI021.xsd','./msxsdtest/identityConstraint',valid),
+ STResList366 = [STRes365|STResList365],
+
+
+ ?line {STRes366,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI022.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList367 = [STRes366|STResList366],
+
+
+ ?line {STRes367,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI023.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList368 = [STRes367|STResList367],
+
+
+ ?line {STRes368,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI024.xsd','./msxsdtest/identityConstraint',valid),
+ STResList369 = [STRes368|STResList368],
+
+
+ ?line {STRes369,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI025.xsd','./msxsdtest/identityConstraint',valid),
+ STResList370 = [STRes369|STResList369],
+
+
+ ?line {STRes370,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI026.xsd','./msxsdtest/identityConstraint',valid),
+ STResList371 = [STRes370|STResList370],
+
+
+ ?line {STRes371,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI027.xsd','./msxsdtest/identityConstraint',valid),
+ STResList372 = [STRes371|STResList371],
+
+
+ ?line {STRes372,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI028.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList373 = [STRes372|STResList372],
+
+
+ ?line {STRes373,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI029.xsd','./msxsdtest/identityConstraint',valid),
+ STResList374 = [STRes373|STResList373],
+
+
+ ?line {STRes374,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI030.xsd','./msxsdtest/identityConstraint',valid),
+ STResList375 = [STRes374|STResList374],
+
+
+ ?line {STRes375,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI031.xsd','./msxsdtest/identityConstraint',valid),
+ STResList376 = [STRes375|STResList375],
+
+
+ ?line {STRes376,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI032.xsd','./msxsdtest/identityConstraint',valid),
+ STResList377 = [STRes376|STResList376],
+
+
+ ?line {STRes377,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI033.xsd','./msxsdtest/identityConstraint',valid),
+ STResList378 = [STRes377|STResList377],
+
+
+ ?line {STRes378,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList379 = [STRes378|STResList378],
+
+
+ ?line {STRes379,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI035.xsd','./msxsdtest/identityConstraint',valid),
+ STResList380 = [STRes379|STResList379],
+
+
+ ?line {STRes380,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI036.xsd','./msxsdtest/identityConstraint',valid),
+ STResList381 = [STRes380|STResList380],
+
+
+ ?line {STRes381,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI037.xsd','./msxsdtest/identityConstraint',valid),
+ STResList382 = [STRes381|STResList381],
+
+
+ ?line {STRes382,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI038.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList383 = [STRes382|STResList382],
+
+
+ ?line {STRes383,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI039.xsd','./msxsdtest/identityConstraint',valid),
+ STResList384 = [STRes383|STResList383],
+
+
+ ?line {STRes384,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI040.xsd','./msxsdtest/identityConstraint',valid),
+ STResList385 = [STRes384|STResList384],
+
+
+ ?line {STRes385,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI041.xsd','./msxsdtest/identityConstraint',valid),
+ STResList386 = [STRes385|STResList385],
+
+
+ ?line {STRes386,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI042.xsd','./msxsdtest/identityConstraint',valid),
+ STResList387 = [STRes386|STResList386],
+
+
+ ?line {STRes387,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI043.xsd','./msxsdtest/identityConstraint',valid),
+ STResList388 = [STRes387|STResList387],
+
+
+ ?line {STRes388,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI044.xsd','./msxsdtest/identityConstraint',valid),
+ STResList389 = [STRes388|STResList388],
+
+
+ ?line {STRes389,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI045.xsd','./msxsdtest/identityConstraint',valid),
+ STResList390 = [STRes389|STResList389],
+
+
+ ?line {STRes390,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI046.xsd','./msxsdtest/identityConstraint',valid),
+ STResList391 = [STRes390|STResList390],
+
+
+ ?line {STRes391,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI047.xsd','./msxsdtest/identityConstraint',valid),
+ STResList392 = [STRes391|STResList391],
+
+
+ ?line {STRes392,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI048.xsd','./msxsdtest/identityConstraint',valid),
+ STResList393 = [STRes392|STResList392],
+
+
+ ?line {STRes393,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI049.xsd','./msxsdtest/identityConstraint',valid),
+ STResList394 = [STRes393|STResList393],
+
+
+ ?line {STRes394,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI050.xsd','./msxsdtest/identityConstraint',valid),
+ STResList395 = [STRes394|STResList394],
+
+
+ ?line {STRes395,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI051.xsd','./msxsdtest/identityConstraint',valid),
+ STResList396 = [STRes395|STResList395],
+
+
+ ?line {STRes396,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI052.xsd','./msxsdtest/identityConstraint',valid),
+ STResList397 = [STRes396|STResList396],
+
+
+ ?line {STRes397,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI053.xsd','./msxsdtest/identityConstraint',valid),
+ STResList398 = [STRes397|STResList397],
+
+
+ ?line {STRes398,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI054.xsd','./msxsdtest/identityConstraint',valid),
+ STResList399 = [STRes398|STResList398],
+
+
+ ?line {STRes399,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI055.xsd','./msxsdtest/identityConstraint',valid),
+ STResList400 = [STRes399|STResList399],
+
+
+ ?line {STRes400,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI056.xsd','./msxsdtest/identityConstraint',valid),
+ STResList401 = [STRes400|STResList400],
+
+
+ ?line {STRes401,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI057.xsd','./msxsdtest/identityConstraint',valid),
+ STResList402 = [STRes401|STResList401],
+
+
+ ?line {STRes402,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI058.xsd','./msxsdtest/identityConstraint',valid),
+ STResList403 = [STRes402|STResList402],
+
+
+ ?line {STRes403,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI059.xsd','./msxsdtest/identityConstraint',valid),
+ STResList404 = [STRes403|STResList403],
+
+
+ ?line {STRes404,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI060.xsd','./msxsdtest/identityConstraint',valid),
+ STResList405 = [STRes404|STResList404],
+
+
+ ?line {STRes405,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI061.xsd','./msxsdtest/identityConstraint',valid),
+ STResList406 = [STRes405|STResList405],
+
+
+ ?line {STRes406,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI062.xsd','./msxsdtest/identityConstraint',valid),
+ STResList407 = [STRes406|STResList406],
+
+
+ ?line {STRes407,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI063.xsd','./msxsdtest/identityConstraint',valid),
+ STResList408 = [STRes407|STResList407],
+
+
+ ?line {STRes408,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI064.xsd','./msxsdtest/identityConstraint',valid),
+ STResList409 = [STRes408|STResList408],
+
+
+ ?line {STRes409,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI065.xsd','./msxsdtest/identityConstraint',valid),
+ STResList410 = [STRes409|STResList409],
+
+
+ ?line {STRes410,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI066.xsd','./msxsdtest/identityConstraint',valid),
+ STResList411 = [STRes410|STResList410],
+
+
+ ?line {STRes411,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI067.xsd','./msxsdtest/identityConstraint',valid),
+ STResList412 = [STRes411|STResList411],
+
+
+ ?line {STRes412,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI068.xsd','./msxsdtest/identityConstraint',valid),
+ STResList413 = [STRes412|STResList412],
+
+
+ ?line {STRes413,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI069.xsd','./msxsdtest/identityConstraint',valid),
+ STResList414 = [STRes413|STResList413],
+
+
+ ?line {STRes414,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI070.xsd','./msxsdtest/identityConstraint',valid),
+ STResList415 = [STRes414|STResList414],
+
+
+ ?line {STRes415,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI071.xsd','./msxsdtest/identityConstraint',valid),
+ STResList416 = [STRes415|STResList415],
+
+
+ ?line {STRes416,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI072.xsd','./msxsdtest/identityConstraint',valid),
+ STResList417 = [STRes416|STResList416],
+
+
+ ?line {STRes417,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI073.xsd','./msxsdtest/identityConstraint',valid),
+ STResList418 = [STRes417|STResList417],
+
+
+ ?line {STRes418,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI074.xsd','./msxsdtest/identityConstraint',valid),
+ STResList419 = [STRes418|STResList418],
+
+
+ ?line {STRes419,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI075.xsd','./msxsdtest/identityConstraint',valid),
+ STResList420 = [STRes419|STResList419],
+
+
+ ?line {STRes420,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI076.xsd','./msxsdtest/identityConstraint',valid),
+ STResList421 = [STRes420|STResList420],
+
+
+ ?line {STRes421,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI077.xsd','./msxsdtest/identityConstraint',valid),
+ STResList422 = [STRes421|STResList421],
+
+
+ ?line {STRes422,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI078.xsd','./msxsdtest/identityConstraint',valid),
+ STResList423 = [STRes422|STResList422],
+
+
+ ?line {STRes423,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI079.xsd','./msxsdtest/identityConstraint',valid),
+ STResList424 = [STRes423|STResList423],
+
+
+ ?line {STRes424,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI080.xsd','./msxsdtest/identityConstraint',valid),
+ STResList425 = [STRes424|STResList424],
+
+
+ ?line {STRes425,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI081.xsd','./msxsdtest/identityConstraint',valid),
+ STResList426 = [STRes425|STResList425],
+
+
+ ?line {STRes426,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI082.xsd','./msxsdtest/identityConstraint',valid),
+ STResList427 = [STRes426|STResList426],
+
+
+ ?line {STRes427,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI083.xsd','./msxsdtest/identityConstraint',valid),
+ STResList428 = [STRes427|STResList427],
+
+
+ ?line {STRes428,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI084.xsd','./msxsdtest/identityConstraint',valid),
+ STResList429 = [STRes428|STResList428],
+
+
+ ?line {STRes429,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI085.xsd','./msxsdtest/identityConstraint',valid),
+ STResList430 = [STRes429|STResList429],
+
+
+ ?line {STRes430,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI086.xsd','./msxsdtest/identityConstraint',valid),
+ STResList431 = [STRes430|STResList430],
+
+
+ ?line {STRes431,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI087.xsd','./msxsdtest/identityConstraint',valid),
+ STResList432 = [STRes431|STResList431],
+
+
+ ?line {STRes432,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI088.xsd','./msxsdtest/identityConstraint',valid),
+ STResList433 = [STRes432|STResList432],
+
+
+ ?line {STRes433,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI089.xsd','./msxsdtest/identityConstraint',valid),
+ STResList434 = [STRes433|STResList433],
+
+
+ ?line {STRes434,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI090.xsd','./msxsdtest/identityConstraint',valid),
+ STResList435 = [STRes434|STResList434],
+
+
+ ?line {STRes435,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI091.xsd','./msxsdtest/identityConstraint',valid),
+ STResList436 = [STRes435|STResList435],
+
+
+ ?line {STRes436,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI092.xsd','./msxsdtest/identityConstraint',valid),
+ STResList437 = [STRes436|STResList436],
+
+
+ ?line {STRes437,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI093.xsd','./msxsdtest/identityConstraint',valid),
+ STResList438 = [STRes437|STResList437],
+
+
+ ?line {STRes438,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI094.xsd','./msxsdtest/identityConstraint',valid),
+ STResList439 = [STRes438|STResList438],
+
+
+ ?line {STRes439,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI095.xsd','./msxsdtest/identityConstraint',valid),
+ STResList440 = [STRes439|STResList439],
+
+
+ ?line {STRes440,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI096.xsd','./msxsdtest/identityConstraint',valid),
+ STResList441 = [STRes440|STResList440],
+
+
+ ?line {STRes441,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI097.xsd','./msxsdtest/identityConstraint',valid),
+ STResList442 = [STRes441|STResList441],
+
+
+ ?line {STRes442,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI098.xsd','./msxsdtest/identityConstraint',valid),
+ STResList443 = [STRes442|STResList442],
+
+
+ ?line {STRes443,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI099.xsd','./msxsdtest/identityConstraint',valid),
+ STResList444 = [STRes443|STResList443],
+
+
+ ?line {STRes444,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI100.xsd','./msxsdtest/identityConstraint',valid),
+ STResList445 = [STRes444|STResList444],
+
+
+ ?line {STRes445,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI101.xsd','./msxsdtest/identityConstraint',valid),
+ STResList446 = [STRes445|STResList445],
+
+
+ ?line {STRes446,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI102.xsd','./msxsdtest/identityConstraint',valid),
+ STResList447 = [STRes446|STResList446],
+
+
+ ?line {STRes447,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI103.xsd','./msxsdtest/identityConstraint',valid),
+ STResList448 = [STRes447|STResList447],
+
+
+ ?line {STRes448,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI104.xsd','./msxsdtest/identityConstraint',valid),
+ STResList449 = [STRes448|STResList448],
+
+
+ ?line {STRes449,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI105.xsd','./msxsdtest/identityConstraint',valid),
+ STResList450 = [STRes449|STResList449],
+
+
+ ?line {STRes450,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI106.xsd','./msxsdtest/identityConstraint',valid),
+ STResList451 = [STRes450|STResList450],
+
+
+ ?line {STRes451,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI107.xsd','./msxsdtest/identityConstraint',valid),
+ STResList452 = [STRes451|STResList451],
+
+
+ ?line {STRes452,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI108.xsd','./msxsdtest/identityConstraint',valid),
+ STResList453 = [STRes452|STResList452],
+
+
+ ?line {STRes453,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI109.xsd','./msxsdtest/identityConstraint',valid),
+ STResList454 = [STRes453|STResList453],
+
+
+ ?line {STRes454,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI110.xsd','./msxsdtest/identityConstraint',valid),
+ STResList455 = [STRes454|STResList454],
+
+
+ ?line {STRes455,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI111.xsd','./msxsdtest/identityConstraint',valid),
+ STResList456 = [STRes455|STResList455],
+
+
+ ?line {STRes456,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI112.xsd','./msxsdtest/identityConstraint',valid),
+ STResList457 = [STRes456|STResList456],
+
+
+ ?line {STRes457,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI113.xsd','./msxsdtest/identityConstraint',valid),
+ STResList458 = [STRes457|STResList457],
+
+
+ ?line {STRes458,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI114.xsd','./msxsdtest/identityConstraint',valid),
+ STResList459 = [STRes458|STResList458],
+
+
+ ?line {STRes459,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI115.xsd','./msxsdtest/identityConstraint',valid),
+ STResList460 = [STRes459|STResList459],
+
+
+ ?line {STRes460,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI116.xsd','./msxsdtest/identityConstraint',valid),
+ STResList461 = [STRes460|STResList460],
+
+
+ ?line {STRes461,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI117.xsd','./msxsdtest/identityConstraint',valid),
+ STResList462 = [STRes461|STResList461],
+
+
+ ?line {STRes462,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI118.xsd','./msxsdtest/identityConstraint',valid),
+ STResList463 = [STRes462|STResList462],
+
+
+ ?line {STRes463,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI119.xsd','./msxsdtest/identityConstraint',valid),
+ STResList464 = [STRes463|STResList463],
+
+
+ ?line {STRes464,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI120.xsd','./msxsdtest/identityConstraint',valid),
+ STResList465 = [STRes464|STResList464],
+
+
+ ?line {STRes465,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI121.xsd','./msxsdtest/identityConstraint',valid),
+ STResList466 = [STRes465|STResList465],
+
+
+ ?line {STRes466,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI122.xsd','./msxsdtest/identityConstraint',valid),
+ STResList467 = [STRes466|STResList466],
+
+
+ ?line {STRes467,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI123.xsd','./msxsdtest/identityConstraint',valid),
+ STResList468 = [STRes467|STResList467],
+
+
+ ?line {STRes468,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI124.xsd','./msxsdtest/identityConstraint',valid),
+ STResList469 = [STRes468|STResList468],
+
+
+ ?line {STRes469,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI125.xsd','./msxsdtest/identityConstraint',valid),
+ STResList470 = [STRes469|STResList469],
+
+
+ ?line {STRes470,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI126.xsd','./msxsdtest/identityConstraint',valid),
+ STResList471 = [STRes470|STResList470],
+
+
+ ?line {STRes471,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI127.xsd','./msxsdtest/identityConstraint',valid),
+ STResList472 = [STRes471|STResList471],
+
+
+ ?line {STRes472,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI128.xsd','./msxsdtest/identityConstraint',valid),
+ STResList473 = [STRes472|STResList472],
+
+
+ ?line {STRes473,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI129.xsd','./msxsdtest/identityConstraint',valid),
+ STResList474 = [STRes473|STResList473],
+
+
+ ?line {STRes474,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI130.xsd','./msxsdtest/identityConstraint',valid),
+ STResList475 = [STRes474|STResList474],
+
+
+ ?line {STRes475,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI131.xsd','./msxsdtest/identityConstraint',valid),
+ STResList476 = [STRes475|STResList475],
+
+
+ ?line {STRes476,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI132.xsd','./msxsdtest/identityConstraint',valid),
+ STResList477 = [STRes476|STResList476],
+
+
+ ?line {STRes477,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI133.xsd','./msxsdtest/identityConstraint',valid),
+ STResList478 = [STRes477|STResList477],
+
+
+ ?line {STRes478,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI134.xsd','./msxsdtest/identityConstraint',valid),
+ STResList479 = [STRes478|STResList478],
+
+
+ ?line {STRes479,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI135.xsd','./msxsdtest/identityConstraint',valid),
+ STResList480 = [STRes479|STResList479],
+
+
+ ?line {STRes480,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI136.xsd','./msxsdtest/identityConstraint',valid),
+ STResList481 = [STRes480|STResList480],
+
+
+ ?line {STRes481,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI137.xsd','./msxsdtest/identityConstraint',valid),
+ STResList482 = [STRes481|STResList481],
+
+
+ ?line {STRes482,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI138.xsd','./msxsdtest/identityConstraint',valid),
+ STResList483 = [STRes482|STResList482],
+
+
+ ?line {STRes483,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI139.xsd','./msxsdtest/identityConstraint',valid),
+ STResList484 = [STRes483|STResList483],
+
+
+ ?line {STRes484,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI140.xsd','./msxsdtest/identityConstraint',valid),
+ STResList485 = [STRes484|STResList484],
+
+
+ ?line {STRes485,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI141.xsd','./msxsdtest/identityConstraint',valid),
+ STResList486 = [STRes485|STResList485],
+
+
+ ?line {STRes486,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI142.xsd','./msxsdtest/identityConstraint',valid),
+ STResList487 = [STRes486|STResList486],
+
+
+ ?line {STRes487,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI143.xsd','./msxsdtest/identityConstraint',valid),
+ STResList488 = [STRes487|STResList487],
+
+
+ ?line {STRes488,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI144.xsd','./msxsdtest/identityConstraint',valid),
+ STResList489 = [STRes488|STResList488],
+
+
+ ?line {STRes489,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI145.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList490 = [STRes489|STResList489],
+
+
+ ?line {STRes490,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI146.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList491 = [STRes490|STResList490],
+
+
+ ?line {STRes491,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI147.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList492 = [STRes491|STResList491],
+
+
+ ?line {STRes492,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI148.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList493 = [STRes492|STResList492],
+
+
+ ?line {STRes493,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI149.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList494 = [STRes493|STResList493],
+
+
+ ?line {STRes494,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI150.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList495 = [STRes494|STResList494],
+
+
+ ?line {STRes495,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI151.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList496 = [STRes495|STResList495],
+
+
+ ?line {STRes496,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idI152.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList497 = [STRes496|STResList496],
+
+
+ ?line {STRes497,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ001.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList498 = [STRes497|STResList497],
+
+
+ ?line {STRes498,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ002.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList499 = [STRes498|STResList498],
+
+
+ ?line {STRes499,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ003.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList500 = [STRes499|STResList499],
+
+
+ ?line {STRes500,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ004.xsd','./msxsdtest/identityConstraint',valid),
+ STResList501 = [STRes500|STResList500],
+
+
+ ?line {STRes501,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ005.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList502 = [STRes501|STResList501],
+
+
+ ?line {STRes502,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ006.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList503 = [STRes502|STResList502],
+
+
+ ?line {STRes503,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ007.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList504 = [STRes503|STResList503],
+
+
+ ?line {STRes504,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ008.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList505 = [STRes504|STResList504],
+
+
+ ?line {STRes505,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList506 = [STRes505|STResList505],
+
+
+ ?line {STRes506,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList507 = [STRes506|STResList506],
+
+
+ ?line {STRes507,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ011.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList508 = [STRes507|STResList507],
+
+
+ ?line {STRes508,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList509 = [STRes508|STResList508],
+
+
+ ?line {STRes509,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList510 = [STRes509|STResList509],
+
+
+ ?line {STRes510,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList511 = [STRes510|STResList510],
+
+
+ ?line {STRes511,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ015.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList512 = [STRes511|STResList511],
+
+
+ ?line {STRes512,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ016.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList513 = [STRes512|STResList512],
+
+
+ ?line {STRes513,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ017.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList514 = [STRes513|STResList513],
+
+
+ ?line {STRes514,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ018.xsd','./msxsdtest/identityConstraint',valid),
+ STResList515 = [STRes514|STResList514],
+
+
+ ?line {STRes515,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ019.xsd','./msxsdtest/identityConstraint',valid),
+ STResList516 = [STRes515|STResList515],
+
+
+ ?line {STRes516,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ020.xsd','./msxsdtest/identityConstraint',valid),
+ STResList517 = [STRes516|STResList516],
+
+
+ ?line {STRes517,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ021.xsd','./msxsdtest/identityConstraint',valid),
+ STResList518 = [STRes517|STResList517],
+
+
+ ?line {STRes518,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList519 = [STRes518|STResList518],
+
+
+ ?line {STRes519,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ023.xsd','./msxsdtest/identityConstraint',valid),
+ STResList520 = [STRes519|STResList519],
+
+
+ ?line {STRes520,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ024.xsd','./msxsdtest/identityConstraint',valid),
+ STResList521 = [STRes520|STResList520],
+
+
+ ?line {STRes521,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ025.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList522 = [STRes521|STResList521],
+
+
+ ?line {STRes522,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ026.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList523 = [STRes522|STResList522],
+
+
+ ?line {STRes523,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ027.xsd','./msxsdtest/identityConstraint',valid),
+ STResList524 = [STRes523|STResList523],
+
+
+ ?line {STRes524,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ028.xsd','./msxsdtest/identityConstraint',valid),
+ STResList525 = [STRes524|STResList524],
+
+
+ ?line {STRes525,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ029.xsd','./msxsdtest/identityConstraint',valid),
+ STResList526 = [STRes525|STResList525],
+
+
+ ?line {STRes526,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ030.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList527 = [STRes526|STResList526],
+
+
+ ?line {STRes527,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ031.xsd','./msxsdtest/identityConstraint',valid),
+ STResList528 = [STRes527|STResList527],
+
+
+ ?line {STRes528,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ032.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList529 = [STRes528|STResList528],
+
+
+ ?line {STRes529,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ033.xsd','./msxsdtest/identityConstraint',valid),
+ STResList530 = [STRes529|STResList529],
+
+
+ ?line {STRes530,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList531 = [STRes530|STResList530],
+
+
+ ?line {STRes531,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ035.xsd','./msxsdtest/identityConstraint',valid),
+ STResList532 = [STRes531|STResList531],
+
+
+ ?line {STRes532,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ036.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList533 = [STRes532|STResList532],
+
+
+ ?line {STRes533,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ037.xsd','./msxsdtest/identityConstraint',valid),
+ STResList534 = [STRes533|STResList533],
+
+
+ ?line {STRes534,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ038.xsd','./msxsdtest/identityConstraint',valid),
+ STResList535 = [STRes534|STResList534],
+
+
+ ?line {STRes535,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ039.xsd','./msxsdtest/identityConstraint',valid),
+ STResList536 = [STRes535|STResList535],
+
+
+ ?line {STRes536,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ040.xsd','./msxsdtest/identityConstraint',valid),
+ STResList537 = [STRes536|STResList536],
+
+
+ ?line {STRes537,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ041.xsd','./msxsdtest/identityConstraint',valid),
+ STResList538 = [STRes537|STResList537],
+
+
+ ?line {STRes538,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ042.xsd','./msxsdtest/identityConstraint',valid),
+ STResList539 = [STRes538|STResList538],
+
+
+ ?line {STRes539,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ043.xsd','./msxsdtest/identityConstraint',valid),
+ STResList540 = [STRes539|STResList539],
+
+
+ ?line {STRes540,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ044.xsd','./msxsdtest/identityConstraint',valid),
+ STResList541 = [STRes540|STResList540],
+
+
+ ?line {STRes541,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ045.xsd','./msxsdtest/identityConstraint',valid),
+ STResList542 = [STRes541|STResList541],
+
+
+ ?line {STRes542,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ046.xsd','./msxsdtest/identityConstraint',valid),
+ STResList543 = [STRes542|STResList542],
+
+
+ ?line {STRes543,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ047.xsd','./msxsdtest/identityConstraint',valid),
+ STResList544 = [STRes543|STResList543],
+
+
+ ?line {STRes544,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ048.xsd','./msxsdtest/identityConstraint',valid),
+ STResList545 = [STRes544|STResList544],
+
+
+ ?line {STRes545,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ049.xsd','./msxsdtest/identityConstraint',valid),
+ STResList546 = [STRes545|STResList545],
+
+
+ ?line {STRes546,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ050.xsd','./msxsdtest/identityConstraint',valid),
+ STResList547 = [STRes546|STResList546],
+
+
+ ?line {STRes547,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ051.xsd','./msxsdtest/identityConstraint',valid),
+ STResList548 = [STRes547|STResList547],
+
+
+ ?line {STRes548,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ052.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList549 = [STRes548|STResList548],
+
+
+ ?line {STRes549,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ053.xsd','./msxsdtest/identityConstraint',valid),
+ STResList550 = [STRes549|STResList549],
+
+
+ ?line {STRes550,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ054.xsd','./msxsdtest/identityConstraint',valid),
+ STResList551 = [STRes550|STResList550],
+
+
+ ?line {STRes551,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ055.xsd','./msxsdtest/identityConstraint',valid),
+ STResList552 = [STRes551|STResList551],
+
+
+ ?line {STRes552,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ056.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList553 = [STRes552|STResList552],
+
+
+ ?line {STRes553,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ057.xsd','./msxsdtest/identityConstraint',valid),
+ STResList554 = [STRes553|STResList553],
+
+
+ ?line {STRes554,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ058.xsd','./msxsdtest/identityConstraint',valid),
+ STResList555 = [STRes554|STResList554],
+
+
+ ?line {STRes555,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ059.xsd','./msxsdtest/identityConstraint',valid),
+ STResList556 = [STRes555|STResList555],
+
+
+ ?line {STRes556,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ060.xsd','./msxsdtest/identityConstraint',valid),
+ STResList557 = [STRes556|STResList556],
+
+
+ ?line {STRes557,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ061.xsd','./msxsdtest/identityConstraint',valid),
+ STResList558 = [STRes557|STResList557],
+
+
+ ?line {STRes558,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ062.xsd','./msxsdtest/identityConstraint',valid),
+ STResList559 = [STRes558|STResList558],
+
+
+ ?line {STRes559,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ063.xsd','./msxsdtest/identityConstraint',valid),
+ STResList560 = [STRes559|STResList559],
+
+
+ ?line {STRes560,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ064.xsd','./msxsdtest/identityConstraint',valid),
+ STResList561 = [STRes560|STResList560],
+
+
+ ?line {STRes561,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ065.xsd','./msxsdtest/identityConstraint',valid),
+ STResList562 = [STRes561|STResList561],
+
+
+ ?line {STRes562,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ066.xsd','./msxsdtest/identityConstraint',valid),
+ STResList563 = [STRes562|STResList562],
+
+
+ ?line {STRes563,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ067.xsd','./msxsdtest/identityConstraint',valid),
+ STResList564 = [STRes563|STResList563],
+
+
+ ?line {STRes564,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ068.xsd','./msxsdtest/identityConstraint',valid),
+ STResList565 = [STRes564|STResList564],
+
+
+ ?line {STRes565,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ069.xsd','./msxsdtest/identityConstraint',valid),
+ STResList566 = [STRes565|STResList565],
+
+
+ ?line {STRes566,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ070.xsd','./msxsdtest/identityConstraint',valid),
+ STResList567 = [STRes566|STResList566],
+
+
+ ?line {STRes567,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ071.xsd','./msxsdtest/identityConstraint',valid),
+ STResList568 = [STRes567|STResList567],
+
+
+ ?line {STRes568,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ072.xsd','./msxsdtest/identityConstraint',valid),
+ STResList569 = [STRes568|STResList568],
+
+
+ ?line {STRes569,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ073.xsd','./msxsdtest/identityConstraint',valid),
+ STResList570 = [STRes569|STResList569],
+
+
+ ?line {STRes570,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ074.xsd','./msxsdtest/identityConstraint',valid),
+ STResList571 = [STRes570|STResList570],
+
+
+ ?line {STRes571,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ075.xsd','./msxsdtest/identityConstraint',valid),
+ STResList572 = [STRes571|STResList571],
+
+
+ ?line {STRes572,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ076.xsd','./msxsdtest/identityConstraint',valid),
+ STResList573 = [STRes572|STResList572],
+
+
+ ?line {STRes573,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ077.xsd','./msxsdtest/identityConstraint',valid),
+ STResList574 = [STRes573|STResList573],
+
+
+ ?line {STRes574,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ078.xsd','./msxsdtest/identityConstraint',valid),
+ STResList575 = [STRes574|STResList574],
+
+
+ ?line {STRes575,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ079.xsd','./msxsdtest/identityConstraint',valid),
+ STResList576 = [STRes575|STResList575],
+
+
+ ?line {STRes576,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ080.xsd','./msxsdtest/identityConstraint',valid),
+ STResList577 = [STRes576|STResList576],
+
+
+ ?line {STRes577,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ081.xsd','./msxsdtest/identityConstraint',valid),
+ STResList578 = [STRes577|STResList577],
+
+
+ ?line {STRes578,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ082.xsd','./msxsdtest/identityConstraint',valid),
+ STResList579 = [STRes578|STResList578],
+
+
+ ?line {STRes579,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ083.xsd','./msxsdtest/identityConstraint',valid),
+ STResList580 = [STRes579|STResList579],
+
+
+ ?line {STRes580,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ084.xsd','./msxsdtest/identityConstraint',valid),
+ STResList581 = [STRes580|STResList580],
+
+
+ ?line {STRes581,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ085.xsd','./msxsdtest/identityConstraint',valid),
+ STResList582 = [STRes581|STResList581],
+
+
+ ?line {STRes582,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ086.xsd','./msxsdtest/identityConstraint',valid),
+ STResList583 = [STRes582|STResList582],
+
+
+ ?line {STRes583,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ087.xsd','./msxsdtest/identityConstraint',valid),
+ STResList584 = [STRes583|STResList583],
+
+
+ ?line {STRes584,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ088.xsd','./msxsdtest/identityConstraint',valid),
+ STResList585 = [STRes584|STResList584],
+
+
+ ?line {STRes585,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ089.xsd','./msxsdtest/identityConstraint',valid),
+ STResList586 = [STRes585|STResList585],
+
+
+ ?line {STRes586,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ090.xsd','./msxsdtest/identityConstraint',valid),
+ STResList587 = [STRes586|STResList586],
+
+
+ ?line {STRes587,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ091.xsd','./msxsdtest/identityConstraint',valid),
+ STResList588 = [STRes587|STResList587],
+
+
+ ?line {STRes588,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ092.xsd','./msxsdtest/identityConstraint',valid),
+ STResList589 = [STRes588|STResList588],
+
+
+ ?line {STRes589,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ093.xsd','./msxsdtest/identityConstraint',valid),
+ STResList590 = [STRes589|STResList589],
+
+
+ ?line {STRes590,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ094.xsd','./msxsdtest/identityConstraint',valid),
+ STResList591 = [STRes590|STResList590],
+
+
+ ?line {STRes591,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ095.xsd','./msxsdtest/identityConstraint',valid),
+ STResList592 = [STRes591|STResList591],
+
+
+ ?line {STRes592,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ096.xsd','./msxsdtest/identityConstraint',valid),
+ STResList593 = [STRes592|STResList592],
+
+
+ ?line {STRes593,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ097.xsd','./msxsdtest/identityConstraint',valid),
+ STResList594 = [STRes593|STResList593],
+
+
+ ?line {STRes594,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ098.xsd','./msxsdtest/identityConstraint',valid),
+ STResList595 = [STRes594|STResList594],
+
+
+ ?line {STRes595,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ099.xsd','./msxsdtest/identityConstraint',valid),
+ STResList596 = [STRes595|STResList595],
+
+
+ ?line {STRes596,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ100.xsd','./msxsdtest/identityConstraint',valid),
+ STResList597 = [STRes596|STResList596],
+
+
+ ?line {STRes597,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ101.xsd','./msxsdtest/identityConstraint',valid),
+ STResList598 = [STRes597|STResList597],
+
+
+ ?line {STRes598,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ102.xsd','./msxsdtest/identityConstraint',valid),
+ STResList599 = [STRes598|STResList598],
+
+
+ ?line {STRes599,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ103.xsd','./msxsdtest/identityConstraint',valid),
+ STResList600 = [STRes599|STResList599],
+
+
+ ?line {STRes600,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ104.xsd','./msxsdtest/identityConstraint',valid),
+ STResList601 = [STRes600|STResList600],
+
+
+ ?line {STRes601,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ105.xsd','./msxsdtest/identityConstraint',valid),
+ STResList602 = [STRes601|STResList601],
+
+
+ ?line {STRes602,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ106.xsd','./msxsdtest/identityConstraint',valid),
+ STResList603 = [STRes602|STResList602],
+
+
+ ?line {STRes603,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ107.xsd','./msxsdtest/identityConstraint',valid),
+ STResList604 = [STRes603|STResList603],
+
+
+ ?line {STRes604,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ108.xsd','./msxsdtest/identityConstraint',valid),
+ STResList605 = [STRes604|STResList604],
+
+
+ ?line {STRes605,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ109.xsd','./msxsdtest/identityConstraint',valid),
+ STResList606 = [STRes605|STResList605],
+
+
+ ?line {STRes606,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ110.xsd','./msxsdtest/identityConstraint',valid),
+ STResList607 = [STRes606|STResList606],
+
+
+ ?line {STRes607,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ111.xsd','./msxsdtest/identityConstraint',valid),
+ STResList608 = [STRes607|STResList607],
+
+
+ ?line {STRes608,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ112.xsd','./msxsdtest/identityConstraint',valid),
+ STResList609 = [STRes608|STResList608],
+
+
+ ?line {STRes609,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ113.xsd','./msxsdtest/identityConstraint',valid),
+ STResList610 = [STRes609|STResList609],
+
+
+ ?line {STRes610,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ114.xsd','./msxsdtest/identityConstraint',valid),
+ STResList611 = [STRes610|STResList610],
+
+
+ ?line {STRes611,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ115.xsd','./msxsdtest/identityConstraint',valid),
+ STResList612 = [STRes611|STResList611],
+
+
+ ?line {STRes612,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ116.xsd','./msxsdtest/identityConstraint',valid),
+ STResList613 = [STRes612|STResList612],
+
+
+ ?line {STRes613,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ117.xsd','./msxsdtest/identityConstraint',valid),
+ STResList614 = [STRes613|STResList613],
+
+
+ ?line {STRes614,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ118.xsd','./msxsdtest/identityConstraint',valid),
+ STResList615 = [STRes614|STResList614],
+
+
+ ?line {STRes615,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ119.xsd','./msxsdtest/identityConstraint',valid),
+ STResList616 = [STRes615|STResList615],
+
+
+ ?line {STRes616,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ120.xsd','./msxsdtest/identityConstraint',valid),
+ STResList617 = [STRes616|STResList616],
+
+
+ ?line {STRes617,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ121.xsd','./msxsdtest/identityConstraint',valid),
+ STResList618 = [STRes617|STResList617],
+
+
+ ?line {STRes618,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ122.xsd','./msxsdtest/identityConstraint',valid),
+ STResList619 = [STRes618|STResList618],
+
+
+ ?line {STRes619,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ123.xsd','./msxsdtest/identityConstraint',valid),
+ STResList620 = [STRes619|STResList619],
+
+
+ ?line {STRes620,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ124.xsd','./msxsdtest/identityConstraint',valid),
+ STResList621 = [STRes620|STResList620],
+
+
+ ?line {STRes621,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ125.xsd','./msxsdtest/identityConstraint',valid),
+ STResList622 = [STRes621|STResList621],
+
+
+ ?line {STRes622,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ126.xsd','./msxsdtest/identityConstraint',valid),
+ STResList623 = [STRes622|STResList622],
+
+
+ ?line {STRes623,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ127.xsd','./msxsdtest/identityConstraint',valid),
+ STResList624 = [STRes623|STResList623],
+
+
+ ?line {STRes624,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ128.xsd','./msxsdtest/identityConstraint',valid),
+ STResList625 = [STRes624|STResList624],
+
+
+ ?line {STRes625,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ129.xsd','./msxsdtest/identityConstraint',valid),
+ STResList626 = [STRes625|STResList625],
+
+
+ ?line {STRes626,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ130.xsd','./msxsdtest/identityConstraint',valid),
+ STResList627 = [STRes626|STResList626],
+
+
+ ?line {STRes627,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ131.xsd','./msxsdtest/identityConstraint',valid),
+ STResList628 = [STRes627|STResList627],
+
+
+ ?line {STRes628,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ132.xsd','./msxsdtest/identityConstraint',valid),
+ STResList629 = [STRes628|STResList628],
+
+
+ ?line {STRes629,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ133.xsd','./msxsdtest/identityConstraint',valid),
+ STResList630 = [STRes629|STResList629],
+
+
+ ?line {STRes630,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ134.xsd','./msxsdtest/identityConstraint',valid),
+ STResList631 = [STRes630|STResList630],
+
+
+ ?line {STRes631,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ135.xsd','./msxsdtest/identityConstraint',valid),
+ STResList632 = [STRes631|STResList631],
+
+
+ ?line {STRes632,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ136.xsd','./msxsdtest/identityConstraint',valid),
+ STResList633 = [STRes632|STResList632],
+
+
+ ?line {STRes633,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ137.xsd','./msxsdtest/identityConstraint',valid),
+ STResList634 = [STRes633|STResList633],
+
+
+ ?line {STRes634,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ138.xsd','./msxsdtest/identityConstraint',valid),
+ STResList635 = [STRes634|STResList634],
+
+
+ ?line {STRes635,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ139.xsd','./msxsdtest/identityConstraint',valid),
+ STResList636 = [STRes635|STResList635],
+
+
+ ?line {STRes636,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ140.xsd','./msxsdtest/identityConstraint',valid),
+ STResList637 = [STRes636|STResList636],
+
+
+ ?line {STRes637,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ141.xsd','./msxsdtest/identityConstraint',valid),
+ STResList638 = [STRes637|STResList637],
+
+
+ ?line {STRes638,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ142.xsd','./msxsdtest/identityConstraint',valid),
+ STResList639 = [STRes638|STResList638],
+
+
+ ?line {STRes639,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ143.xsd','./msxsdtest/identityConstraint',valid),
+ STResList640 = [STRes639|STResList639],
+
+
+ ?line {STRes640,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ144.xsd','./msxsdtest/identityConstraint',valid),
+ STResList641 = [STRes640|STResList640],
+
+
+ ?line {STRes641,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ145.xsd','./msxsdtest/identityConstraint',valid),
+ STResList642 = [STRes641|STResList641],
+
+
+ ?line {STRes642,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ146.xsd','./msxsdtest/identityConstraint',valid),
+ STResList643 = [STRes642|STResList642],
+
+
+ ?line {STRes643,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ147.xsd','./msxsdtest/identityConstraint',valid),
+ STResList644 = [STRes643|STResList643],
+
+
+ ?line {STRes644,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ148.xsd','./msxsdtest/identityConstraint',valid),
+ STResList645 = [STRes644|STResList644],
+
+
+ ?line {STRes645,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ149.xsd','./msxsdtest/identityConstraint',valid),
+ STResList646 = [STRes645|STResList645],
+
+
+ ?line {STRes646,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ150.xsd','./msxsdtest/identityConstraint',valid),
+ STResList647 = [STRes646|STResList646],
+
+
+ ?line {STRes647,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ151.xsd','./msxsdtest/identityConstraint',valid),
+ STResList648 = [STRes647|STResList647],
+
+
+ ?line {STRes648,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ152.xsd','./msxsdtest/identityConstraint',valid),
+ STResList649 = [STRes648|STResList648],
+
+
+ ?line {STRes649,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ153.xsd','./msxsdtest/identityConstraint',valid),
+ STResList650 = [STRes649|STResList649],
+
+
+ ?line {STRes650,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ154.xsd','./msxsdtest/identityConstraint',valid),
+ STResList651 = [STRes650|STResList650],
+
+
+ ?line {STRes651,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ155.xsd','./msxsdtest/identityConstraint',valid),
+ STResList652 = [STRes651|STResList651],
+
+
+ ?line {STRes652,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ156.xsd','./msxsdtest/identityConstraint',valid),
+ STResList653 = [STRes652|STResList652],
+
+
+ ?line {STRes653,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ157.xsd','./msxsdtest/identityConstraint',valid),
+ STResList654 = [STRes653|STResList653],
+
+
+ ?line {STRes654,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ158.xsd','./msxsdtest/identityConstraint',valid),
+ STResList655 = [STRes654|STResList654],
+
+
+ ?line {STRes655,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ159.xsd','./msxsdtest/identityConstraint',valid),
+ STResList656 = [STRes655|STResList655],
+
+
+ ?line {STRes656,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ160.xsd','./msxsdtest/identityConstraint',valid),
+ STResList657 = [STRes656|STResList656],
+
+
+ ?line {STRes657,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ161.xsd','./msxsdtest/identityConstraint',valid),
+ STResList658 = [STRes657|STResList657],
+
+
+ ?line {STRes658,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ162.xsd','./msxsdtest/identityConstraint',valid),
+ STResList659 = [STRes658|STResList658],
+
+
+ ?line {STRes659,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ163.xsd','./msxsdtest/identityConstraint',valid),
+ STResList660 = [STRes659|STResList659],
+
+
+ ?line {STRes660,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ164.xsd','./msxsdtest/identityConstraint',valid),
+ STResList661 = [STRes660|STResList660],
+
+
+ ?line {STRes661,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ165.xsd','./msxsdtest/identityConstraint',valid),
+ STResList662 = [STRes661|STResList661],
+
+
+ ?line {STRes662,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ166.xsd','./msxsdtest/identityConstraint',valid),
+ STResList663 = [STRes662|STResList662],
+
+
+ ?line {STRes663,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ167.xsd','./msxsdtest/identityConstraint',valid),
+ STResList664 = [STRes663|STResList663],
+
+
+ ?line {STRes664,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ168.xsd','./msxsdtest/identityConstraint',valid),
+ STResList665 = [STRes664|STResList664],
+
+
+ ?line {STRes665,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ169.xsd','./msxsdtest/identityConstraint',valid),
+ STResList666 = [STRes665|STResList665],
+
+
+ ?line {STRes666,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ170.xsd','./msxsdtest/identityConstraint',valid),
+ STResList667 = [STRes666|STResList666],
+
+
+ ?line {STRes667,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ171.xsd','./msxsdtest/identityConstraint',valid),
+ STResList668 = [STRes667|STResList667],
+
+
+ ?line {STRes668,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ172.xsd','./msxsdtest/identityConstraint',valid),
+ STResList669 = [STRes668|STResList668],
+
+
+ ?line {STRes669,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ173.xsd','./msxsdtest/identityConstraint',valid),
+ STResList670 = [STRes669|STResList669],
+
+
+ ?line {STRes670,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ174.xsd','./msxsdtest/identityConstraint',valid),
+ STResList671 = [STRes670|STResList670],
+
+
+ ?line {STRes671,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ175.xsd','./msxsdtest/identityConstraint',valid),
+ STResList672 = [STRes671|STResList671],
+
+
+ ?line {STRes672,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ176.xsd','./msxsdtest/identityConstraint',valid),
+ STResList673 = [STRes672|STResList672],
+
+
+ ?line {STRes673,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ177.xsd','./msxsdtest/identityConstraint',valid),
+ STResList674 = [STRes673|STResList673],
+
+
+ ?line {STRes674,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ178.xsd','./msxsdtest/identityConstraint',valid),
+ STResList675 = [STRes674|STResList674],
+
+
+ ?line {STRes675,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ179.xsd','./msxsdtest/identityConstraint',valid),
+ STResList676 = [STRes675|STResList675],
+
+
+ ?line {STRes676,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ180.xsd','./msxsdtest/identityConstraint',valid),
+ STResList677 = [STRes676|STResList676],
+
+
+ ?line {STRes677,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ181.xsd','./msxsdtest/identityConstraint',valid),
+ STResList678 = [STRes677|STResList677],
+
+
+ ?line {STRes678,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ182.xsd','./msxsdtest/identityConstraint',valid),
+ STResList679 = [STRes678|STResList678],
+
+
+ ?line {STRes679,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ183.xsd','./msxsdtest/identityConstraint',valid),
+ STResList680 = [STRes679|STResList679],
+
+
+ ?line {STRes680,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ184.xsd','./msxsdtest/identityConstraint',valid),
+ STResList681 = [STRes680|STResList680],
+
+
+ ?line {STRes681,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ185.xsd','./msxsdtest/identityConstraint',valid),
+ STResList682 = [STRes681|STResList681],
+
+
+ ?line {STRes682,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ186.xsd','./msxsdtest/identityConstraint',valid),
+ STResList683 = [STRes682|STResList682],
+
+
+ ?line {STRes683,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ187.xsd','./msxsdtest/identityConstraint',valid),
+ STResList684 = [STRes683|STResList683],
+
+
+ ?line {STRes684,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ188.xsd','./msxsdtest/identityConstraint',valid),
+ STResList685 = [STRes684|STResList684],
+
+
+ ?line {STRes685,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ189.xsd','./msxsdtest/identityConstraint',valid),
+ STResList686 = [STRes685|STResList685],
+
+
+ ?line {STRes686,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ190.xsd','./msxsdtest/identityConstraint',valid),
+ STResList687 = [STRes686|STResList686],
+
+
+ ?line {STRes687,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ191.xsd','./msxsdtest/identityConstraint',valid),
+ STResList688 = [STRes687|STResList687],
+
+
+ ?line {STRes688,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ192.xsd','./msxsdtest/identityConstraint',valid),
+ STResList689 = [STRes688|STResList688],
+
+
+ ?line {STRes689,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ193.xsd','./msxsdtest/identityConstraint',valid),
+ STResList690 = [STRes689|STResList689],
+
+
+ ?line {STRes690,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ194.xsd','./msxsdtest/identityConstraint',valid),
+ STResList691 = [STRes690|STResList690],
+
+
+ ?line {STRes691,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ195.xsd','./msxsdtest/identityConstraint',valid),
+ STResList692 = [STRes691|STResList691],
+
+
+ ?line {STRes692,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ196.xsd','./msxsdtest/identityConstraint',valid),
+ STResList693 = [STRes692|STResList692],
+
+
+ ?line {STRes693,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ197.xsd','./msxsdtest/identityConstraint',valid),
+ STResList694 = [STRes693|STResList693],
+
+
+ ?line {STRes694,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ198.xsd','./msxsdtest/identityConstraint',valid),
+ STResList695 = [STRes694|STResList694],
+
+
+ ?line {STRes695,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ199.xsd','./msxsdtest/identityConstraint',valid),
+ STResList696 = [STRes695|STResList695],
+
+
+ ?line {STRes696,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ200.xsd','./msxsdtest/identityConstraint',valid),
+ STResList697 = [STRes696|STResList696],
+
+
+ ?line {STRes697,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ201.xsd','./msxsdtest/identityConstraint',valid),
+ STResList698 = [STRes697|STResList697],
+
+
+ ?line {STRes698,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ202.xsd','./msxsdtest/identityConstraint',valid),
+ STResList699 = [STRes698|STResList698],
+
+
+ ?line {STRes699,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ203.xsd','./msxsdtest/identityConstraint',valid),
+ STResList700 = [STRes699|STResList699],
+
+
+ ?line {STRes700,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ204.xsd','./msxsdtest/identityConstraint',valid),
+ STResList701 = [STRes700|STResList700],
+
+
+ ?line {STRes701,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ205.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList702 = [STRes701|STResList701],
+
+
+ ?line {STRes702,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ206.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList703 = [STRes702|STResList702],
+
+
+ ?line {STRes703,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ207.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList704 = [STRes703|STResList703],
+
+
+ ?line {STRes704,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ208.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList705 = [STRes704|STResList704],
+
+
+ ?line {STRes705,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ209.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList706 = [STRes705|STResList705],
+
+
+ ?line {STRes706,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idJ210.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList707 = [STRes706|STResList706],
+
+
+ ?line {STRes707,S707} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList708 = [STRes707|STResList707],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK001.xml','./msxsdtest/identityConstraint',valid,S707),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes708,S708} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK002.xsd','./msxsdtest/identityConstraint',valid),
+ STResList709 = [STRes708|STResList708],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK002.xml','./msxsdtest/identityConstraint',valid,S708),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes709,S709} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK003.xsd','./msxsdtest/identityConstraint',valid),
+ STResList710 = [STRes709|STResList709],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK003.xml','./msxsdtest/identityConstraint',invalid,S709),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes710,S710} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK004.xsd','./msxsdtest/identityConstraint',valid),
+ STResList711 = [STRes710|STResList710],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK004.xml','./msxsdtest/identityConstraint',valid,S710),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes711,S711} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK005.xsd','./msxsdtest/identityConstraint',valid),
+ STResList712 = [STRes711|STResList711],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK005.xml','./msxsdtest/identityConstraint',valid,S711),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes712,S712} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK006.xsd','./msxsdtest/identityConstraint',valid),
+ STResList713 = [STRes712|STResList712],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK006.xml','./msxsdtest/identityConstraint',valid,S712),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes713,S713} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK007.xsd','./msxsdtest/identityConstraint',valid),
+ STResList714 = [STRes713|STResList713],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK007.xml','./msxsdtest/identityConstraint',valid,S713),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes714,S714} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList715 = [STRes714|STResList714],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK008.xml','./msxsdtest/identityConstraint',valid,S714),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes715,S715} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList716 = [STRes715|STResList715],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK009.xml','./msxsdtest/identityConstraint',valid,S715),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes716,S716} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList717 = [STRes716|STResList716],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK010.xml','./msxsdtest/identityConstraint',valid,S716),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes717,S717} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList718 = [STRes717|STResList717],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK011.xml','./msxsdtest/identityConstraint',valid,S717),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes718,S718} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList719 = [STRes718|STResList718],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK012.xml','./msxsdtest/identityConstraint',invalid,S718),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes719,S719} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList720 = [STRes719|STResList719],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK013.xml','./msxsdtest/identityConstraint',valid,S719),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes720,S720} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList721 = [STRes720|STResList720],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK014.xml','./msxsdtest/identityConstraint',valid,S720),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes721,S721} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList722 = [STRes721|STResList721],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK015.xml','./msxsdtest/identityConstraint',valid,S721),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ ?line {STRes722,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK016.xsd','./msxsdtest/identityConstraint',invalid),
+ STResList723 = [STRes722|STResList722],
+
+
+ ?line {STRes723,S723} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idK017.xsd','./msxsdtest/identityConstraint',valid),
+ STResList724 = [STRes723|STResList723],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idK017.xml','./msxsdtest/identityConstraint',valid,S723),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes724,S724} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList725 = [STRes724|STResList724],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL001.xml','./msxsdtest/identityConstraint',valid,S724),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes725,S725} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL002.xsd','./msxsdtest/identityConstraint',valid),
+ STResList726 = [STRes725|STResList725],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL002.xml','./msxsdtest/identityConstraint',invalid,S725),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes726,S726} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL003.xsd','./msxsdtest/identityConstraint',valid),
+ STResList727 = [STRes726|STResList726],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL003.xml','./msxsdtest/identityConstraint',valid,S726),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes727,S727} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL004.xsd','./msxsdtest/identityConstraint',valid),
+ STResList728 = [STRes727|STResList727],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL004.xml','./msxsdtest/identityConstraint',valid,S727),
+ ITResList113 = [ITRes112|ITResList112],
+
+
+ ?line {STRes728,S728} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL005.xsd','./msxsdtest/identityConstraint',valid),
+ STResList729 = [STRes728|STResList728],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL005.xml','./msxsdtest/identityConstraint',valid,S728),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes729,S729} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL006.xsd','./msxsdtest/identityConstraint',valid),
+ STResList730 = [STRes729|STResList729],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL006.xml','./msxsdtest/identityConstraint',invalid,S729),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes730,S730} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL007.xsd','./msxsdtest/identityConstraint',valid),
+ STResList731 = [STRes730|STResList730],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL007.xml','./msxsdtest/identityConstraint',invalid,S730),
+ ITResList116 = [ITRes115|ITResList115],
+
+
+ ?line {STRes731,S731} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL008.xsd','./msxsdtest/identityConstraint',valid),
+ STResList732 = [STRes731|STResList731],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL008.xml','./msxsdtest/identityConstraint',valid,S731),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes732,S732} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL009.xsd','./msxsdtest/identityConstraint',valid),
+ STResList733 = [STRes732|STResList732],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL009.xml','./msxsdtest/identityConstraint',valid,S732),
+ ITResList118 = [ITRes117|ITResList117],
+
+
+ ?line {STRes733,S733} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL010.xsd','./msxsdtest/identityConstraint',valid),
+ STResList734 = [STRes733|STResList733],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL010.xml','./msxsdtest/identityConstraint',valid,S733),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes734,S734} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL011.xsd','./msxsdtest/identityConstraint',valid),
+ STResList735 = [STRes734|STResList734],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL011.xml','./msxsdtest/identityConstraint',invalid,S734),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes735,S735} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL012.xsd','./msxsdtest/identityConstraint',valid),
+ STResList736 = [STRes735|STResList735],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL012.xml','./msxsdtest/identityConstraint',invalid,S735),
+ ITResList121 = [ITRes120|ITResList120],
+
+
+ ?line {STRes736,S736} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL013.xsd','./msxsdtest/identityConstraint',valid),
+ STResList737 = [STRes736|STResList736],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL013.xml','./msxsdtest/identityConstraint',valid,S736),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes737,S737} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL014.xsd','./msxsdtest/identityConstraint',valid),
+ STResList738 = [STRes737|STResList737],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL014.xml','./msxsdtest/identityConstraint',valid,S737),
+ ITResList123 = [ITRes122|ITResList122],
+
+
+ ?line {STRes738,S738} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL015.xsd','./msxsdtest/identityConstraint',valid),
+ STResList739 = [STRes738|STResList738],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL015.xml','./msxsdtest/identityConstraint',invalid,S738),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes739,S739} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL016.xsd','./msxsdtest/identityConstraint',valid),
+ STResList740 = [STRes739|STResList739],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL016.xml','./msxsdtest/identityConstraint',valid,S739),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes740,S740} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL017.xsd','./msxsdtest/identityConstraint',valid),
+ STResList741 = [STRes740|STResList740],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL017.xml','./msxsdtest/identityConstraint',valid,S740),
+ ITResList126 = [ITRes125|ITResList125],
+
+
+ ?line {STRes741,S741} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL018.xsd','./msxsdtest/identityConstraint',valid),
+ STResList742 = [STRes741|STResList741],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL018.xml','./msxsdtest/identityConstraint',valid,S741),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ ?line {STRes742,S742} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL019.xsd','./msxsdtest/identityConstraint',valid),
+ STResList743 = [STRes742|STResList742],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL019.xml','./msxsdtest/identityConstraint',invalid,S742),
+ ITResList128 = [ITRes127|ITResList127],
+
+
+ ?line {STRes743,S743} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL020.xsd','./msxsdtest/identityConstraint',valid),
+ STResList744 = [STRes743|STResList743],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL020.xml','./msxsdtest/identityConstraint',valid,S743),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes744,S744} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL021.xsd','./msxsdtest/identityConstraint',valid),
+ STResList745 = [STRes744|STResList744],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL021.xml','./msxsdtest/identityConstraint',valid,S744),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ ?line {STRes745,S745} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL022.xsd','./msxsdtest/identityConstraint',valid),
+ STResList746 = [STRes745|STResList745],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL022.xml','./msxsdtest/identityConstraint',invalid,S745),
+ ITResList131 = [ITRes130|ITResList130],
+
+
+ ?line {STRes746,S746} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL023.xsd','./msxsdtest/identityConstraint',valid),
+ STResList747 = [STRes746|STResList746],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL023.xml','./msxsdtest/identityConstraint',valid,S746),
+ ITResList132 = [ITRes131|ITResList131],
+
+
+ ?line {STRes747,S747} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL024.xsd','./msxsdtest/identityConstraint',valid),
+ STResList748 = [STRes747|STResList747],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL024.xml','./msxsdtest/identityConstraint',valid,S747),
+ ITResList133 = [ITRes132|ITResList132],
+
+
+ ?line {STRes748,S748} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL025.xsd','./msxsdtest/identityConstraint',valid),
+ STResList749 = [STRes748|STResList748],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL025.xml','./msxsdtest/identityConstraint',invalid,S748),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes749,S749} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL026.xsd','./msxsdtest/identityConstraint',valid),
+ STResList750 = [STRes749|STResList749],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL026.xml','./msxsdtest/identityConstraint',valid,S749),
+ ITResList135 = [ITRes134|ITResList134],
+
+
+ ?line {STRes750,S750} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL027.xsd','./msxsdtest/identityConstraint',valid),
+ STResList751 = [STRes750|STResList750],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL027.xml','./msxsdtest/identityConstraint',invalid,S750),
+ ITResList136 = [ITRes135|ITResList135],
+
+
+ ?line {STRes751,S751} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL028.xsd','./msxsdtest/identityConstraint',valid),
+ STResList752 = [STRes751|STResList751],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL028.xml','./msxsdtest/identityConstraint',valid,S751),
+ ITResList137 = [ITRes136|ITResList136],
+
+
+ ?line {STRes752,S752} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL029.xsd','./msxsdtest/identityConstraint',valid),
+ STResList753 = [STRes752|STResList752],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL029.xml','./msxsdtest/identityConstraint',valid,S752),
+ ITResList138 = [ITRes137|ITResList137],
+
+
+ ?line {STRes753,S753} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL030.xsd','./msxsdtest/identityConstraint',valid),
+ STResList754 = [STRes753|STResList753],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL030.xml','./msxsdtest/identityConstraint',valid,S753),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes754,S754} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL031.xsd','./msxsdtest/identityConstraint',valid),
+ STResList755 = [STRes754|STResList754],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL031.xml','./msxsdtest/identityConstraint',invalid,S754),
+ ITResList140 = [ITRes139|ITResList139],
+
+
+ ?line {STRes755,S755} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL032.xsd','./msxsdtest/identityConstraint',valid),
+ STResList756 = [STRes755|STResList755],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL032.xml','./msxsdtest/identityConstraint',invalid,S755),
+ ITResList141 = [ITRes140|ITResList140],
+
+
+ ?line {STRes756,S756} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL033.xsd','./msxsdtest/identityConstraint',valid),
+ STResList757 = [STRes756|STResList756],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL033.xml','./msxsdtest/identityConstraint',valid,S756),
+ ITResList142 = [ITRes141|ITResList141],
+
+
+ ?line {STRes757,S757} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL034.xsd','./msxsdtest/identityConstraint',valid),
+ STResList758 = [STRes757|STResList757],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL034.xml','./msxsdtest/identityConstraint',valid,S757),
+ ITResList143 = [ITRes142|ITResList142],
+
+
+ ?line {STRes758,S758} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL035.xsd','./msxsdtest/identityConstraint',valid),
+ STResList759 = [STRes758|STResList758],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL035.xml','./msxsdtest/identityConstraint',valid,S758),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes759,S759} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL036.xsd','./msxsdtest/identityConstraint',valid),
+ STResList760 = [STRes759|STResList759],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL036.xml','./msxsdtest/identityConstraint',invalid,S759),
+ ITResList145 = [ITRes144|ITResList144],
+
+
+ ?line {STRes760,S760} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL037.xsd','./msxsdtest/identityConstraint',valid),
+ STResList761 = [STRes760|STResList760],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL037.xml','./msxsdtest/identityConstraint',invalid,S760),
+ ITResList146 = [ITRes145|ITResList145],
+
+
+ ?line {STRes761,S761} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL038.xsd','./msxsdtest/identityConstraint',valid),
+ STResList762 = [STRes761|STResList761],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL038.xml','./msxsdtest/identityConstraint',valid,S761),
+ ITResList147 = [ITRes146|ITResList146],
+
+
+ ?line {STRes762,S762} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL039.xsd','./msxsdtest/identityConstraint',valid),
+ STResList763 = [STRes762|STResList762],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL039.xml','./msxsdtest/identityConstraint',valid,S762),
+ ITResList148 = [ITRes147|ITResList147],
+
+
+ ?line {STRes763,S763} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL040.xsd','./msxsdtest/identityConstraint',valid),
+ STResList764 = [STRes763|STResList763],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL040.xml','./msxsdtest/identityConstraint',invalid,S763),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes764,S764} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL041.xsd','./msxsdtest/identityConstraint',valid),
+ STResList765 = [STRes764|STResList764],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL041.xml','./msxsdtest/identityConstraint',valid,S764),
+ ITResList150 = [ITRes149|ITResList149],
+
+
+ ?line {STRes765,S765} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL042.xsd','./msxsdtest/identityConstraint',valid),
+ STResList766 = [STRes765|STResList765],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL042.xml','./msxsdtest/identityConstraint',valid,S765),
+ ITResList151 = [ITRes150|ITResList150],
+
+
+ ?line {STRes766,S766} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL043.xsd','./msxsdtest/identityConstraint',valid),
+ STResList767 = [STRes766|STResList766],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL043.xml','./msxsdtest/identityConstraint',valid,S766),
+ ITResList152 = [ITRes151|ITResList151],
+
+
+ ?line {STRes767,S767} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL044.xsd','./msxsdtest/identityConstraint',valid),
+ STResList768 = [STRes767|STResList767],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL044.xml','./msxsdtest/identityConstraint',invalid,S767),
+ ITResList153 = [ITRes152|ITResList152],
+
+
+ ?line {STRes768,S768} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL045.xsd','./msxsdtest/identityConstraint',valid),
+ STResList769 = [STRes768|STResList768],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL045.xml','./msxsdtest/identityConstraint',valid,S768),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes769,S769} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL046.xsd','./msxsdtest/identityConstraint',valid),
+ STResList770 = [STRes769|STResList769],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL046.xml','./msxsdtest/identityConstraint',valid,S769),
+ ITResList155 = [ITRes154|ITResList154],
+
+
+ ?line {STRes770,S770} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL047.xsd','./msxsdtest/identityConstraint',valid),
+ STResList771 = [STRes770|STResList770],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL047.xml','./msxsdtest/identityConstraint',invalid,S770),
+ ITResList156 = [ITRes155|ITResList155],
+
+
+ ?line {STRes771,S771} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL048.xsd','./msxsdtest/identityConstraint',valid),
+ STResList772 = [STRes771|STResList771],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL048.xml','./msxsdtest/identityConstraint',valid,S771),
+ ITResList157 = [ITRes156|ITResList156],
+
+
+ ?line {STRes772,S772} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL049.xsd','./msxsdtest/identityConstraint',valid),
+ STResList773 = [STRes772|STResList772],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL049.xml','./msxsdtest/identityConstraint',valid,S772),
+ ITResList158 = [ITRes157|ITResList157],
+
+
+ ?line {STRes773,S773} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL050.xsd','./msxsdtest/identityConstraint',valid),
+ STResList774 = [STRes773|STResList773],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL050.xml','./msxsdtest/identityConstraint',invalid,S773),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes774,S774} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL051.xsd','./msxsdtest/identityConstraint',valid),
+ STResList775 = [STRes774|STResList774],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL051.xml','./msxsdtest/identityConstraint',valid,S774),
+ ITResList160 = [ITRes159|ITResList159],
+
+
+ ?line {STRes775,S775} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL052.xsd','./msxsdtest/identityConstraint',valid),
+ STResList776 = [STRes775|STResList775],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL052.xml','./msxsdtest/identityConstraint',invalid,S775),
+ ITResList161 = [ITRes160|ITResList160],
+
+
+ ?line {STRes776,S776} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL053.xsd','./msxsdtest/identityConstraint',valid),
+ STResList777 = [STRes776|STResList776],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL053.xml','./msxsdtest/identityConstraint',valid,S776),
+ ITResList162 = [ITRes161|ITResList161],
+
+
+ ?line {STRes777,S777} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL054.xsd','./msxsdtest/identityConstraint',valid),
+ STResList778 = [STRes777|STResList777],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL054.xml','./msxsdtest/identityConstraint',valid,S777),
+ ITResList163 = [ITRes162|ITResList162],
+
+
+ ?line {STRes778,S778} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL055.xsd','./msxsdtest/identityConstraint',valid),
+ STResList779 = [STRes778|STResList778],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL055.xml','./msxsdtest/identityConstraint',valid,S778),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes779,S779} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL056.xsd','./msxsdtest/identityConstraint',valid),
+ STResList780 = [STRes779|STResList779],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL056.xml','./msxsdtest/identityConstraint',invalid,S779),
+ ITResList165 = [ITRes164|ITResList164],
+
+
+ ?line {STRes780,S780} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL057.xsd','./msxsdtest/identityConstraint',valid),
+ STResList781 = [STRes780|STResList780],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL057.xml','./msxsdtest/identityConstraint',invalid,S780),
+ ITResList166 = [ITRes165|ITResList165],
+
+
+ ?line {STRes781,S781} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL058.xsd','./msxsdtest/identityConstraint',valid),
+ STResList782 = [STRes781|STResList781],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL058.xml','./msxsdtest/identityConstraint',valid,S781),
+ ITResList167 = [ITRes166|ITResList166],
+
+
+ ?line {STRes782,S782} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL059.xsd','./msxsdtest/identityConstraint',valid),
+ STResList783 = [STRes782|STResList782],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL059.xml','./msxsdtest/identityConstraint',valid,S782),
+ ITResList168 = [ITRes167|ITResList167],
+
+
+ ?line {STRes783,S783} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL060.xsd','./msxsdtest/identityConstraint',valid),
+ STResList784 = [STRes783|STResList783],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL060.xml','./msxsdtest/identityConstraint',valid,S783),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ ?line {STRes784,S784} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL061.xsd','./msxsdtest/identityConstraint',valid),
+ STResList785 = [STRes784|STResList784],
+ ?line ITRes169 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL061.xml','./msxsdtest/identityConstraint',invalid,S784),
+ ITResList170 = [ITRes169|ITResList169],
+
+
+ ?line {STRes785,S785} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL062.xsd','./msxsdtest/identityConstraint',valid),
+ STResList786 = [STRes785|STResList785],
+ ?line ITRes170 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL062.xml','./msxsdtest/identityConstraint',invalid,S785),
+ ITResList171 = [ITRes170|ITResList170],
+
+
+ ?line {STRes786,S786} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL063.xsd','./msxsdtest/identityConstraint',valid),
+ STResList787 = [STRes786|STResList786],
+ ?line ITRes171 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL063.xml','./msxsdtest/identityConstraint',valid,S786),
+ ITResList172 = [ITRes171|ITResList171],
+
+
+ ?line {STRes787,S787} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL064.xsd','./msxsdtest/identityConstraint',valid),
+ STResList788 = [STRes787|STResList787],
+ ?line ITRes172 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL064.xml','./msxsdtest/identityConstraint',valid,S787),
+ ITResList173 = [ITRes172|ITResList172],
+
+
+ ?line {STRes788,S788} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL065.xsd','./msxsdtest/identityConstraint',valid),
+ STResList789 = [STRes788|STResList788],
+ ?line ITRes173 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL065.xml','./msxsdtest/identityConstraint',invalid,S788),
+ ITResList174 = [ITRes173|ITResList173],
+
+
+ ?line {STRes789,S789} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL066.xsd','./msxsdtest/identityConstraint',valid),
+ STResList790 = [STRes789|STResList789],
+ ?line ITRes174 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL066.xml','./msxsdtest/identityConstraint',valid,S789),
+ ITResList175 = [ITRes174|ITResList174],
+
+
+ ?line {STRes790,S790} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL067.xsd','./msxsdtest/identityConstraint',valid),
+ STResList791 = [STRes790|STResList790],
+ ?line ITRes175 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL067.xml','./msxsdtest/identityConstraint',valid,S790),
+ ITResList176 = [ITRes175|ITResList175],
+
+
+ ?line {STRes791,S791} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL068.xsd','./msxsdtest/identityConstraint',valid),
+ STResList792 = [STRes791|STResList791],
+ ?line ITRes176 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL068.xml','./msxsdtest/identityConstraint',valid,S791),
+ ITResList177 = [ITRes176|ITResList176],
+
+
+ ?line {STRes792,S792} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL069.xsd','./msxsdtest/identityConstraint',valid),
+ STResList793 = [STRes792|STResList792],
+ ?line ITRes177 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL069.xml','./msxsdtest/identityConstraint',invalid,S792),
+ ITResList178 = [ITRes177|ITResList177],
+
+
+ ?line {STRes793,S793} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL070.xsd','./msxsdtest/identityConstraint',valid),
+ STResList794 = [STRes793|STResList793],
+ ?line ITRes178 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL070.xml','./msxsdtest/identityConstraint',valid,S793),
+ ITResList179 = [ITRes178|ITResList178],
+
+
+ ?line {STRes794,S794} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL071.xsd','./msxsdtest/identityConstraint',valid),
+ STResList795 = [STRes794|STResList794],
+ ?line ITRes179 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL071.xml','./msxsdtest/identityConstraint',valid,S794),
+ ITResList180 = [ITRes179|ITResList179],
+
+
+ ?line {STRes795,S795} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL072.xsd','./msxsdtest/identityConstraint',valid),
+ STResList796 = [STRes795|STResList795],
+ ?line ITRes180 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL072.xml','./msxsdtest/identityConstraint',invalid,S795),
+ ITResList181 = [ITRes180|ITResList180],
+
+
+ ?line {STRes796,S796} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL073.xsd','./msxsdtest/identityConstraint',valid),
+ STResList797 = [STRes796|STResList796],
+ ?line ITRes181 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL073.xml','./msxsdtest/identityConstraint',valid,S796),
+ ITResList182 = [ITRes181|ITResList181],
+
+
+ ?line {STRes797,S797} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL074.xsd','./msxsdtest/identityConstraint',valid),
+ STResList798 = [STRes797|STResList797],
+ ?line ITRes182 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL074.xml','./msxsdtest/identityConstraint',valid,S797),
+ ITResList183 = [ITRes182|ITResList182],
+
+
+ ?line {STRes798,S798} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL075.xsd','./msxsdtest/identityConstraint',valid),
+ STResList799 = [STRes798|STResList798],
+ ?line ITRes183 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL075.xml','./msxsdtest/identityConstraint',invalid,S798),
+ ITResList184 = [ITRes183|ITResList183],
+
+
+ ?line {STRes799,S799} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL076.xsd','./msxsdtest/identityConstraint',valid),
+ STResList800 = [STRes799|STResList799],
+ ?line ITRes184 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL076.xml','./msxsdtest/identityConstraint',valid,S799),
+ ITResList185 = [ITRes184|ITResList184],
+
+
+ ?line {STRes800,S800} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL077.xsd','./msxsdtest/identityConstraint',valid),
+ STResList801 = [STRes800|STResList800],
+ ?line ITRes185 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL077.xml','./msxsdtest/identityConstraint',valid,S800),
+ ITResList186 = [ITRes185|ITResList185],
+
+
+ ?line {STRes801,S801} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL078.xsd','./msxsdtest/identityConstraint',valid),
+ STResList802 = [STRes801|STResList801],
+ ?line ITRes186 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL078.xml','./msxsdtest/identityConstraint',valid,S801),
+ ITResList187 = [ITRes186|ITResList186],
+
+
+ ?line {STRes802,S802} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL079.xsd','./msxsdtest/identityConstraint',valid),
+ STResList803 = [STRes802|STResList802],
+ ?line ITRes187 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL079.xml','./msxsdtest/identityConstraint',invalid,S802),
+ ITResList188 = [ITRes187|ITResList187],
+
+
+ ?line {STRes803,S803} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL080.xsd','./msxsdtest/identityConstraint',valid),
+ STResList804 = [STRes803|STResList803],
+ ?line ITRes188 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL080.xml','./msxsdtest/identityConstraint',invalid,S803),
+ ITResList189 = [ITRes188|ITResList188],
+
+
+ ?line {STRes804,S804} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL081.xsd','./msxsdtest/identityConstraint',valid),
+ STResList805 = [STRes804|STResList804],
+ ?line ITRes189 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL081.xml','./msxsdtest/identityConstraint',invalid,S804),
+ ITResList190 = [ITRes189|ITResList189],
+
+
+ ?line {STRes805,S805} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL082.xsd','./msxsdtest/identityConstraint',valid),
+ STResList806 = [STRes805|STResList805],
+ ?line ITRes190 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL082.xml','./msxsdtest/identityConstraint',valid,S805),
+ ITResList191 = [ITRes190|ITResList190],
+
+
+ ?line {STRes806,S806} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL083.xsd','./msxsdtest/identityConstraint',valid),
+ STResList807 = [STRes806|STResList806],
+ ?line ITRes191 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL083.xml','./msxsdtest/identityConstraint',invalid,S806),
+ ITResList192 = [ITRes191|ITResList191],
+
+
+ ?line {STRes807,S807} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL084.xsd','./msxsdtest/identityConstraint',valid),
+ STResList808 = [STRes807|STResList807],
+ ?line ITRes192 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL084.xml','./msxsdtest/identityConstraint',valid,S807),
+ ITResList193 = [ITRes192|ITResList192],
+
+
+ ?line {STRes808,S808} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL085.xsd','./msxsdtest/identityConstraint',valid),
+ STResList809 = [STRes808|STResList808],
+ ?line ITRes193 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL085.xml','./msxsdtest/identityConstraint',invalid,S808),
+ ITResList194 = [ITRes193|ITResList193],
+
+
+ ?line {STRes809,S809} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL086.xsd','./msxsdtest/identityConstraint',valid),
+ STResList810 = [STRes809|STResList809],
+ ?line ITRes194 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL086.xml','./msxsdtest/identityConstraint',valid,S809),
+ ITResList195 = [ITRes194|ITResList194],
+
+
+ ?line {STRes810,S810} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL087.xsd','./msxsdtest/identityConstraint',valid),
+ STResList811 = [STRes810|STResList810],
+ ?line ITRes195 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL087.xml','./msxsdtest/identityConstraint',invalid,S810),
+ ITResList196 = [ITRes195|ITResList195],
+
+
+ ?line {STRes811,S811} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL088.xsd','./msxsdtest/identityConstraint',valid),
+ STResList812 = [STRes811|STResList811],
+ ?line ITRes196 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL088.xml','./msxsdtest/identityConstraint',valid,S811),
+ ITResList197 = [ITRes196|ITResList196],
+
+
+ ?line {STRes812,S812} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL089.xsd','./msxsdtest/identityConstraint',valid),
+ STResList813 = [STRes812|STResList812],
+ ?line ITRes197 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL089.xml','./msxsdtest/identityConstraint',invalid,S812),
+ ITResList198 = [ITRes197|ITResList197],
+
+
+ ?line {STRes813,S813} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL090.xsd','./msxsdtest/identityConstraint',valid),
+ STResList814 = [STRes813|STResList813],
+ ?line ITRes198 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL090.xml','./msxsdtest/identityConstraint',valid,S813),
+ ITResList199 = [ITRes198|ITResList198],
+
+
+ ?line {STRes814,S814} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL091.xsd','./msxsdtest/identityConstraint',valid),
+ STResList815 = [STRes814|STResList814],
+ ?line ITRes199 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL091.xml','./msxsdtest/identityConstraint',invalid,S814),
+ ITResList200 = [ITRes199|ITResList199],
+
+
+ ?line {STRes815,S815} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL092.xsd','./msxsdtest/identityConstraint',valid),
+ STResList816 = [STRes815|STResList815],
+ ?line ITRes200 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL092.xml','./msxsdtest/identityConstraint',valid,S815),
+ ITResList201 = [ITRes200|ITResList200],
+
+
+ ?line {STRes816,S816} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL093.xsd','./msxsdtest/identityConstraint',valid),
+ STResList817 = [STRes816|STResList816],
+ ?line ITRes201 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL093.xml','./msxsdtest/identityConstraint',invalid,S816),
+ ITResList202 = [ITRes201|ITResList201],
+
+
+ ?line {STRes817,S817} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL094.xsd','./msxsdtest/identityConstraint',valid),
+ STResList818 = [STRes817|STResList817],
+ ?line ITRes202 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL094.xml','./msxsdtest/identityConstraint',valid,S817),
+ ITResList203 = [ITRes202|ITResList202],
+
+
+ ?line {STRes818,S818} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL095.xsd','./msxsdtest/identityConstraint',valid),
+ STResList819 = [STRes818|STResList818],
+ ?line ITRes203 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL095.xml','./msxsdtest/identityConstraint',invalid,S818),
+ ITResList204 = [ITRes203|ITResList203],
+
+
+ ?line {STRes819,S819} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL096.xsd','./msxsdtest/identityConstraint',valid),
+ STResList820 = [STRes819|STResList819],
+ ?line ITRes204 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL096.xml','./msxsdtest/identityConstraint',valid,S819),
+ ITResList205 = [ITRes204|ITResList204],
+
+
+ ?line {STRes820,S820} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL097.xsd','./msxsdtest/identityConstraint',valid),
+ STResList821 = [STRes820|STResList820],
+ ?line ITRes205 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL097.xml','./msxsdtest/identityConstraint',invalid,S820),
+ ITResList206 = [ITRes205|ITResList205],
+
+
+ ?line {STRes821,S821} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL098.xsd','./msxsdtest/identityConstraint',valid),
+ STResList822 = [STRes821|STResList821],
+ ?line ITRes206 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL098.xml','./msxsdtest/identityConstraint',valid,S821),
+ ITResList207 = [ITRes206|ITResList206],
+
+
+ ?line {STRes822,S822} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL099.xsd','./msxsdtest/identityConstraint',valid),
+ STResList823 = [STRes822|STResList822],
+ ?line ITRes207 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL099.xml','./msxsdtest/identityConstraint',invalid,S822),
+ ITResList208 = [ITRes207|ITResList207],
+
+
+ ?line {STRes823,S823} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL100.xsd','./msxsdtest/identityConstraint',valid),
+ STResList824 = [STRes823|STResList823],
+ ?line ITRes208 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL100.xml','./msxsdtest/identityConstraint',valid,S823),
+ ITResList209 = [ITRes208|ITResList208],
+
+
+ ?line {STRes824,S824} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL101.xsd','./msxsdtest/identityConstraint',valid),
+ STResList825 = [STRes824|STResList824],
+ ?line ITRes209 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL101.xml','./msxsdtest/identityConstraint',invalid,S824),
+ ITResList210 = [ITRes209|ITResList209],
+
+
+ ?line {STRes825,S825} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL102.xsd','./msxsdtest/identityConstraint',valid),
+ STResList826 = [STRes825|STResList825],
+ ?line ITRes210 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL102.xml','./msxsdtest/identityConstraint',valid,S825),
+ ITResList211 = [ITRes210|ITResList210],
+
+
+ ?line {STRes826,S826} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idL103.xsd','./msxsdtest/identityConstraint',valid),
+ STResList827 = [STRes826|STResList826],
+ ?line ITRes211 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idL103.xml','./msxsdtest/identityConstraint',invalid,S826),
+ ITResList212 = [ITRes211|ITResList211],
+
+
+ ?line {STRes827,S827} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idZ001.xsd','./msxsdtest/identityConstraint',valid),
+ STResList828 = [STRes827|STResList827],
+ ?line ITRes212 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idZ001.xml','./msxsdtest/identityConstraint',invalid,S827),
+ ITResList213 = [ITRes212|ITResList212],
+
+
+ ?line {STRes828,S828} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idZ002.xsd','./msxsdtest/identityConstraint',valid),
+ STResList829 = [STRes828|STResList828],
+ ?line ITRes213 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idZ002.xml','./msxsdtest/identityConstraint',invalid,S828),
+ ITResList214 = [ITRes213|ITResList213],
+
+
+
+
+ ?line {STRes829,S829} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/identityConstraint/idZ004.xsd','./msxsdtest/identityConstraint',valid),
+ STResList830 = [STRes829|STResList829],
+ ?line ITRes214 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/identityConstraint/idZ004.xml','./msxsdtest/identityConstraint',invalid,S829),
+ ITResList215 = [ITRes214|ITResList214],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList830,ITResList215).
+
+%% model groups (ALL).
+
+mgABCD(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgA001.xml','./msxsdtest/ModelGroups',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA011.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA012.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA013.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgA014.xml','./msxsdtest/ModelGroups',valid,S13),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgA015.xml','./msxsdtest/ModelGroups',valid,S14),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgA016.xml','./msxsdtest/ModelGroups',valid,S15),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgA017.xml','./msxsdtest/ModelGroups',valid,S16),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA018.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgA019.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgAa003.xml','./msxsdtest/ModelGroups',valid,S21),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAa010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgAb010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgB001.xml','./msxsdtest/ModelGroups',valid,S39),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgB002.xml','./msxsdtest/ModelGroups',valid,S40),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgB004.xml','./msxsdtest/ModelGroups',valid,S42),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgB006.xml','./msxsdtest/ModelGroups',valid,S43),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgB010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC001.xml','./msxsdtest/ModelGroups',invalid,S48),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC002.xml','./msxsdtest/ModelGroups',valid,S49),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC003.xml','./msxsdtest/ModelGroups',invalid,S50),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC004.xml','./msxsdtest/ModelGroups',valid,S51),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC005.xml','./msxsdtest/ModelGroups',invalid,S52),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes53,S53} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList54 = [STRes53|STResList53],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC006.xml','./msxsdtest/ModelGroups',valid,S53),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes54,S54} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList55 = [STRes54|STResList54],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC007.xml','./msxsdtest/ModelGroups',invalid,S54),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC010.xml','./msxsdtest/ModelGroups',invalid,S57),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes58,S58} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList59 = [STRes58|STResList58],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC011.xml','./msxsdtest/ModelGroups',valid,S58),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC012.xsd','./msxsdtest/ModelGroups',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC012.xml','./msxsdtest/ModelGroups',invalid,S59),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC013.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,S61} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgC014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList62 = [STRes61|STResList61],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgC014.xml','./msxsdtest/ModelGroups',valid,S61),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgD001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgD001.xml','./msxsdtest/ModelGroups',valid,S62),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgD005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgD005.xml','./msxsdtest/ModelGroups',valid,S63),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgD009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgD009.xml','./msxsdtest/ModelGroups',valid,S64),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgD013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgD013.xml','./msxsdtest/ModelGroups',valid,S65),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList66,ITResList25).
+
+%% model groups ( sequence ).
+
+mgEFG(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgE001.xml','./msxsdtest/ModelGroups',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE011.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE012.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgE013.xml','./msxsdtest/ModelGroups',valid,S12),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgE014.xml','./msxsdtest/ModelGroups',valid,S13),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgE015.xml','./msxsdtest/ModelGroups',valid,S14),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgE016.xml','./msxsdtest/ModelGroups',valid,S15),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgE017.xml','./msxsdtest/ModelGroups',valid,S16),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgE018.xsd','./msxsdtest/ModelGroups',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgE018.xml','./msxsdtest/ModelGroups',valid,S17),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEa008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgEb004.xml','./msxsdtest/ModelGroups',valid,S29),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgEb005.xml','./msxsdtest/ModelGroups',valid,S30),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgEb008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF001.xml','./msxsdtest/ModelGroups',valid,S34),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF002.xml','./msxsdtest/ModelGroups',valid,S35),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF004.xml','./msxsdtest/ModelGroups',valid,S37),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF005.xml','./msxsdtest/ModelGroups',valid,S38),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF006.xml','./msxsdtest/ModelGroups',valid,S39),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF007.xml','./msxsdtest/ModelGroups',valid,S40),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF008.xml','./msxsdtest/ModelGroups',valid,S41),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF009.xml','./msxsdtest/ModelGroups',valid,S42),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF010.xml','./msxsdtest/ModelGroups',valid,S43),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF011.xml','./msxsdtest/ModelGroups',valid,S44),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes45,S45} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF012.xsd','./msxsdtest/ModelGroups',valid),
+ STResList46 = [STRes45|STResList45],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF012.xml','./msxsdtest/ModelGroups',valid,S45),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF013.xml','./msxsdtest/ModelGroups',valid,S46),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF014.xml','./msxsdtest/ModelGroups',valid,S47),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF015.xml','./msxsdtest/ModelGroups',valid,S48),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF016.xml','./msxsdtest/ModelGroups',valid,S49),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF017.xml','./msxsdtest/ModelGroups',valid,S50),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF018.xsd','./msxsdtest/ModelGroups',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF018.xml','./msxsdtest/ModelGroups',valid,S51),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF019.xsd','./msxsdtest/ModelGroups',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgF019.xml','./msxsdtest/ModelGroups',valid,S52),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF020.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF021.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF022.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgF023.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG001.xml','./msxsdtest/ModelGroups',valid,S57),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG003.xml','./msxsdtest/ModelGroups',invalid,S59),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG004.xml','./msxsdtest/ModelGroups',valid,S60),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes61,S61} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList62 = [STRes61|STResList61],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG005.xml','./msxsdtest/ModelGroups',invalid,S61),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG006.xml','./msxsdtest/ModelGroups',valid,S62),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG007.xml','./msxsdtest/ModelGroups',valid,S63),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG008.xml','./msxsdtest/ModelGroups',invalid,S64),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG009.xml','./msxsdtest/ModelGroups',valid,S65),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG010.xml','./msxsdtest/ModelGroups',valid,S66),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes67,S67} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList68 = [STRes67|STResList67],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG011.xml','./msxsdtest/ModelGroups',invalid,S67),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG012.xsd','./msxsdtest/ModelGroups',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG012.xml','./msxsdtest/ModelGroups',valid,S68),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes69,S69} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList70 = [STRes69|STResList69],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG013.xml','./msxsdtest/ModelGroups',invalid,S69),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes70,S70} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList71 = [STRes70|STResList70],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG014.xml','./msxsdtest/ModelGroups',valid,S70),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes71,S71} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList72 = [STRes71|STResList71],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG015.xml','./msxsdtest/ModelGroups',invalid,S71),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes72,S72} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList73 = [STRes72|STResList72],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG016.xml','./msxsdtest/ModelGroups',valid,S72),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes73,S73} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList74 = [STRes73|STResList73],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG017.xml','./msxsdtest/ModelGroups',invalid,S73),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes74,S74} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG018.xsd','./msxsdtest/ModelGroups',valid),
+ STResList75 = [STRes74|STResList74],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG018.xml','./msxsdtest/ModelGroups',invalid,S74),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes75,S75} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG019.xsd','./msxsdtest/ModelGroups',valid),
+ STResList76 = [STRes75|STResList75],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG019.xml','./msxsdtest/ModelGroups',valid,S75),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes76,S76} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG020.xsd','./msxsdtest/ModelGroups',valid),
+ STResList77 = [STRes76|STResList76],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG020.xml','./msxsdtest/ModelGroups',invalid,S76),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG021.xsd','./msxsdtest/ModelGroups',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG021.xml','./msxsdtest/ModelGroups',invalid,S77),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG022.xsd','./msxsdtest/ModelGroups',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG022.xml','./msxsdtest/ModelGroups',valid,S78),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes79,S79} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG023.xsd','./msxsdtest/ModelGroups',valid),
+ STResList80 = [STRes79|STResList79],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG023.xml','./msxsdtest/ModelGroups',valid,S79),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG024.xsd','./msxsdtest/ModelGroups',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG024.xml','./msxsdtest/ModelGroups',invalid,S80),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG025.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,S82} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG026.xsd','./msxsdtest/ModelGroups',valid),
+ STResList83 = [STRes82|STResList82],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgG026.xml','./msxsdtest/ModelGroups',valid,S82),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG027.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG028.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG029.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgG030.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList87,ITResList51).
+
+%% model groups ( choice ).
+
+mgHIJ(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgH001.xml','./msxsdtest/ModelGroups',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH011.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH012.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgH013.xml','./msxsdtest/ModelGroups',valid,S12),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgH014.xml','./msxsdtest/ModelGroups',valid,S13),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgH015.xml','./msxsdtest/ModelGroups',valid,S14),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgH016.xml','./msxsdtest/ModelGroups',valid,S15),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgH017.xml','./msxsdtest/ModelGroups',valid,S16),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgH018.xsd','./msxsdtest/ModelGroups',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgH018.xml','./msxsdtest/ModelGroups',valid,S17),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHa008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgHb004.xml','./msxsdtest/ModelGroups',valid,S29),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgHb005.xml','./msxsdtest/ModelGroups',valid,S30),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgHb008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI001.xml','./msxsdtest/ModelGroups',valid,S34),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI002.xml','./msxsdtest/ModelGroups',valid,S35),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI004.xml','./msxsdtest/ModelGroups',valid,S37),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI005.xml','./msxsdtest/ModelGroups',valid,S38),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI006.xml','./msxsdtest/ModelGroups',valid,S39),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI007.xml','./msxsdtest/ModelGroups',valid,S40),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI008.xml','./msxsdtest/ModelGroups',valid,S41),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI009.xml','./msxsdtest/ModelGroups',valid,S42),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI010.xml','./msxsdtest/ModelGroups',valid,S43),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI011.xml','./msxsdtest/ModelGroups',valid,S44),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes45,S45} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI012.xsd','./msxsdtest/ModelGroups',valid),
+ STResList46 = [STRes45|STResList45],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI012.xml','./msxsdtest/ModelGroups',valid,S45),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI013.xml','./msxsdtest/ModelGroups',valid,S46),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI014.xml','./msxsdtest/ModelGroups',valid,S47),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI015.xml','./msxsdtest/ModelGroups',valid,S48),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI016.xml','./msxsdtest/ModelGroups',valid,S49),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI017.xml','./msxsdtest/ModelGroups',valid,S50),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI018.xsd','./msxsdtest/ModelGroups',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI018.xml','./msxsdtest/ModelGroups',valid,S51),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI019.xsd','./msxsdtest/ModelGroups',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgI019.xml','./msxsdtest/ModelGroups',valid,S52),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI020.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI021.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI022.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgI023.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ001.xml','./msxsdtest/ModelGroups',valid,S57),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ003.xml','./msxsdtest/ModelGroups',invalid,S59),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ004.xml','./msxsdtest/ModelGroups',valid,S60),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes61,S61} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList62 = [STRes61|STResList61],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ005.xml','./msxsdtest/ModelGroups',invalid,S61),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ006.xml','./msxsdtest/ModelGroups',valid,S62),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ007.xml','./msxsdtest/ModelGroups',valid,S63),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ008.xml','./msxsdtest/ModelGroups',invalid,S64),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ009.xml','./msxsdtest/ModelGroups',valid,S65),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ010.xml','./msxsdtest/ModelGroups',valid,S66),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes67,S67} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList68 = [STRes67|STResList67],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ011.xml','./msxsdtest/ModelGroups',invalid,S67),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ012.xsd','./msxsdtest/ModelGroups',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ012.xml','./msxsdtest/ModelGroups',valid,S68),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes69,S69} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList70 = [STRes69|STResList69],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ013.xml','./msxsdtest/ModelGroups',invalid,S69),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes70,S70} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList71 = [STRes70|STResList70],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ014.xml','./msxsdtest/ModelGroups',valid,S70),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes71,S71} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList72 = [STRes71|STResList71],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ015.xml','./msxsdtest/ModelGroups',invalid,S71),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes72,S72} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList73 = [STRes72|STResList72],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ016.xml','./msxsdtest/ModelGroups',valid,S72),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes73,S73} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList74 = [STRes73|STResList73],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ017.xml','./msxsdtest/ModelGroups',invalid,S73),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes74,S74} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ018.xsd','./msxsdtest/ModelGroups',valid),
+ STResList75 = [STRes74|STResList74],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ018.xml','./msxsdtest/ModelGroups',invalid,S74),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes75,S75} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ019.xsd','./msxsdtest/ModelGroups',valid),
+ STResList76 = [STRes75|STResList75],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ019.xml','./msxsdtest/ModelGroups',valid,S75),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes76,S76} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ020.xsd','./msxsdtest/ModelGroups',valid),
+ STResList77 = [STRes76|STResList76],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ020.xml','./msxsdtest/ModelGroups',invalid,S76),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ021.xsd','./msxsdtest/ModelGroups',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ021.xml','./msxsdtest/ModelGroups',invalid,S77),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ022.xsd','./msxsdtest/ModelGroups',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ022.xml','./msxsdtest/ModelGroups',valid,S78),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes79,S79} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ023.xsd','./msxsdtest/ModelGroups',valid),
+ STResList80 = [STRes79|STResList79],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ023.xml','./msxsdtest/ModelGroups',valid,S79),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ024.xsd','./msxsdtest/ModelGroups',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ024.xml','./msxsdtest/ModelGroups',invalid,S80),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ025.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,S82} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ026.xsd','./msxsdtest/ModelGroups',valid),
+ STResList83 = [STRes82|STResList82],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgJ026.xml','./msxsdtest/ModelGroups',valid,S82),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ027.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ028.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ029.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgJ030.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList87,ITResList51).
+
+%% model group validation checking (sequence).
+
+mgK(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK001.xml','./msxsdtest/ModelGroups',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK002.xml','./msxsdtest/ModelGroups',invalid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK003.xml','./msxsdtest/ModelGroups',invalid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK004.xml','./msxsdtest/ModelGroups',valid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK005.xml','./msxsdtest/ModelGroups',invalid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK006.xml','./msxsdtest/ModelGroups',invalid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK007.xml','./msxsdtest/ModelGroups',invalid,S6),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK008.xml','./msxsdtest/ModelGroups',invalid,S7),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK009.xml','./msxsdtest/ModelGroups',valid,S8),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgK010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgK010.xml','./msxsdtest/ModelGroups',invalid,S9),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList10,ITResList10).
+
+%% model group validation checking (choice, all).
+
+mgLM(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL001.xml','./msxsdtest/ModelGroups',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL002.xml','./msxsdtest/ModelGroups',invalid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL003.xml','./msxsdtest/ModelGroups',invalid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL004.xml','./msxsdtest/ModelGroups',valid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL005.xml','./msxsdtest/ModelGroups',invalid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL006.xml','./msxsdtest/ModelGroups',valid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL007.xml','./msxsdtest/ModelGroups',invalid,S6),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL008.xml','./msxsdtest/ModelGroups',valid,S7),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL009.xml','./msxsdtest/ModelGroups',valid,S8),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgL010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgL010.xml','./msxsdtest/ModelGroups',invalid,S9),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM002.xml','./msxsdtest/ModelGroups',invalid,S10),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM003.xml','./msxsdtest/ModelGroups',invalid,S11),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM004.xml','./msxsdtest/ModelGroups',valid,S12),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM005.xml','./msxsdtest/ModelGroups',invalid,S13),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM006.xml','./msxsdtest/ModelGroups',invalid,S14),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM007.xml','./msxsdtest/ModelGroups',invalid,S15),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM008.xml','./msxsdtest/ModelGroups',valid,S16),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM009.xml','./msxsdtest/ModelGroups',valid,S17),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM010.xml','./msxsdtest/ModelGroups',invalid,S18),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM011.xml','./msxsdtest/ModelGroups',valid,S19),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM012.xsd','./msxsdtest/ModelGroups',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM012.xml','./msxsdtest/ModelGroups',invalid,S20),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM013.xml','./msxsdtest/ModelGroups',valid,S21),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgM014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgM014.xml','./msxsdtest/ModelGroups',invalid,S22),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList23,ITResList23).
+
+%% Element Sequence Valid.
+
+mgN(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN001.xsd','./msxsdtest/ModelGroups',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN001.xml','./msxsdtest/ModelGroups',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN002.xml','./msxsdtest/ModelGroups',invalid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN003.xml','./msxsdtest/ModelGroups',invalid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN004.xml','./msxsdtest/ModelGroups',invalid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN005.xml','./msxsdtest/ModelGroups',valid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN006.xml','./msxsdtest/ModelGroups',invalid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN007.xml','./msxsdtest/ModelGroups',invalid,S6),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN008.xml','./msxsdtest/ModelGroups',invalid,S7),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN009.xml','./msxsdtest/ModelGroups',invalid,S8),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN010.xml','./msxsdtest/ModelGroups',invalid,S9),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN011.xml','./msxsdtest/ModelGroups',invalid,S10),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN012.xsd','./msxsdtest/ModelGroups',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN012.xml','./msxsdtest/ModelGroups',valid,S11),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN013.xml','./msxsdtest/ModelGroups',invalid,S12),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN014.xml','./msxsdtest/ModelGroups',invalid,S13),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN015.xml','./msxsdtest/ModelGroups',invalid,S14),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgN016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgN016.xml','./msxsdtest/ModelGroups',invalid,S15),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList16,ITResList16).
+
+%% All Group Limited.
+
+mgOP(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList2 = [STRes1|STResList1],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO002.xml','./msxsdtest/ModelGroups',valid,S1),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO004.xsd','./msxsdtest/ModelGroups',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO004.xml','./msxsdtest/ModelGroups',valid,S3),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO005.xsd','./msxsdtest/ModelGroups',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO005.xml','./msxsdtest/ModelGroups',valid,S4),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO006.xml','./msxsdtest/ModelGroups',valid,S5),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO007.xml','./msxsdtest/ModelGroups',valid,S6),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO008.xml','./msxsdtest/ModelGroups',valid,S7),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO009.xml','./msxsdtest/ModelGroups',valid,S8),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO010.xsd','./msxsdtest/ModelGroups',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO010.xml','./msxsdtest/ModelGroups',valid,S9),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO011.xsd','./msxsdtest/ModelGroups',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO011.xml','./msxsdtest/ModelGroups',valid,S10),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO012.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO013.xsd','./msxsdtest/ModelGroups',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO013.xml','./msxsdtest/ModelGroups',valid,S12),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO014.xml','./msxsdtest/ModelGroups',valid,S13),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO015.xml','./msxsdtest/ModelGroups',valid,S14),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO016.xml','./msxsdtest/ModelGroups',valid,S15),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO017.xml','./msxsdtest/ModelGroups',valid,S16),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO018.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO019.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO020.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO021.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO022.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO023.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO024.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO025.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO026.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO027.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO028.xsd','./msxsdtest/ModelGroups',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO028.xml','./msxsdtest/ModelGroups',valid,S27),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO029.xsd','./msxsdtest/ModelGroups',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO029.xml','./msxsdtest/ModelGroups',valid,S28),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO030.xsd','./msxsdtest/ModelGroups',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO030.xml','./msxsdtest/ModelGroups',valid,S29),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO031.xsd','./msxsdtest/ModelGroups',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO031.xml','./msxsdtest/ModelGroups',valid,S30),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO032.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO033.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO034.xsd','./msxsdtest/ModelGroups',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO034.xml','./msxsdtest/ModelGroups',valid,S33),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO035.xsd','./msxsdtest/ModelGroups',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO035.xml','./msxsdtest/ModelGroups',valid,S34),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO036.xsd','./msxsdtest/ModelGroups',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO036.xml','./msxsdtest/ModelGroups',valid,S35),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO037.xsd','./msxsdtest/ModelGroups',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO037.xml','./msxsdtest/ModelGroups',valid,S36),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgO038.xsd','./msxsdtest/ModelGroups',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgO038.xml','./msxsdtest/ModelGroups',valid,S37),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP039.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP040.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP041.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP042.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP043.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP049.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP050.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP055.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP056.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP057.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP058.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP059.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP060.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP061.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgP062.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList53 = [STRes52|STResList52],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList53,ITResList23).
+
+%% Element Declarations Consistent, 3.8.6
+
+mgQR(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ002.xsd','./msxsdtest/ModelGroups',valid),
+ STResList2 = [STRes1|STResList1],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ002.xml','./msxsdtest/ModelGroups',valid,S1),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ003.xsd','./msxsdtest/ModelGroups',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ003.xml','./msxsdtest/ModelGroups',valid,S2),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ006.xsd','./msxsdtest/ModelGroups',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ006.xml','./msxsdtest/ModelGroups',valid,S5),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ007.xsd','./msxsdtest/ModelGroups',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ007.xml','./msxsdtest/ModelGroups',valid,S6),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ008.xsd','./msxsdtest/ModelGroups',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ008.xml','./msxsdtest/ModelGroups',valid,S7),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ009.xsd','./msxsdtest/ModelGroups',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ009.xml','./msxsdtest/ModelGroups',valid,S8),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ011.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ012.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ013.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ014.xsd','./msxsdtest/ModelGroups',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ014.xml','./msxsdtest/ModelGroups',valid,S13),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ015.xsd','./msxsdtest/ModelGroups',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ015.xml','./msxsdtest/ModelGroups',valid,S14),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ016.xsd','./msxsdtest/ModelGroups',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ016.xml','./msxsdtest/ModelGroups',valid,S15),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ017.xsd','./msxsdtest/ModelGroups',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ017.xml','./msxsdtest/ModelGroups',valid,S16),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ018.xsd','./msxsdtest/ModelGroups',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ018.xml','./msxsdtest/ModelGroups',valid,S17),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ019.xsd','./msxsdtest/ModelGroups',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ019.xml','./msxsdtest/ModelGroups',valid,S18),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ020.xsd','./msxsdtest/ModelGroups',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/ModelGroups/mgQ020.xml','./msxsdtest/ModelGroups',valid,S19),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgQ021.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR001.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR002.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR003.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR004.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR005.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR006.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR007.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR008.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR009.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR010.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR011.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR012.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR013.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR014.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR015.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR016.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR017.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR018.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR019.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR020.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR021.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/ModelGroups/mgR022.xsd','./msxsdtest/ModelGroups',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList43,ITResList13).
+
+%% Deterministic Sequences.
+
+mgS(Config) when is_list(Config) ->
+
+
+
+
+
+
+
+
+ xmerl_xsd_lib:compare_test_results(Config,[],[]).
+
+%% 3.9.1 The Particle Schema Component.
+
+particlesAB(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA001.xsd','./msxsdtest/Particles',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA001.xml','./msxsdtest/Particles',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA002.xsd','./msxsdtest/Particles',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA002.xml','./msxsdtest/Particles',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA003.xsd','./msxsdtest/Particles',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA003.xml','./msxsdtest/Particles',invalid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA004.xsd','./msxsdtest/Particles',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA004.xml','./msxsdtest/Particles',invalid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA005.xsd','./msxsdtest/Particles',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA005.xml','./msxsdtest/Particles',invalid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA006.xsd','./msxsdtest/Particles',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA006.xml','./msxsdtest/Particles',valid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA007.xsd','./msxsdtest/Particles',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA007.xml','./msxsdtest/Particles',valid,S6),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA008.xsd','./msxsdtest/Particles',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA008.xml','./msxsdtest/Particles',invalid,S7),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA009.xsd','./msxsdtest/Particles',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA009.xml','./msxsdtest/Particles',invalid,S8),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA010.xsd','./msxsdtest/Particles',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA010.xml','./msxsdtest/Particles',valid,S9),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA011.xsd','./msxsdtest/Particles',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA011.xml','./msxsdtest/Particles',valid,S10),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA012.xsd','./msxsdtest/Particles',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA012.xml','./msxsdtest/Particles',invalid,S11),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA013.xsd','./msxsdtest/Particles',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA013.xml','./msxsdtest/Particles',invalid,S12),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA014.xsd','./msxsdtest/Particles',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA014.xml','./msxsdtest/Particles',valid,S13),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesA015.xsd','./msxsdtest/Particles',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesA015.xml','./msxsdtest/Particles',valid,S14),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB001.xsd','./msxsdtest/Particles',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB001.xml','./msxsdtest/Particles',invalid,S15),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB002.xsd','./msxsdtest/Particles',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB002.xml','./msxsdtest/Particles',valid,S16),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB003.xsd','./msxsdtest/Particles',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB003.xml','./msxsdtest/Particles',invalid,S17),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB004.xsd','./msxsdtest/Particles',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB004.xml','./msxsdtest/Particles',invalid,S18),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB005.xsd','./msxsdtest/Particles',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB005.xml','./msxsdtest/Particles',valid,S19),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB006.xsd','./msxsdtest/Particles',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB006.xml','./msxsdtest/Particles',valid,S20),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB007.xsd','./msxsdtest/Particles',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB007.xml','./msxsdtest/Particles',invalid,S21),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB008.xsd','./msxsdtest/Particles',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB008.xml','./msxsdtest/Particles',invalid,S22),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB009.xsd','./msxsdtest/Particles',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB009.xml','./msxsdtest/Particles',valid,S23),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB010.xsd','./msxsdtest/Particles',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB010.xml','./msxsdtest/Particles',valid,S24),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB011.xsd','./msxsdtest/Particles',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB011.xml','./msxsdtest/Particles',invalid,S25),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB012.xsd','./msxsdtest/Particles',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB012.xml','./msxsdtest/Particles',invalid,S26),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB013.xsd','./msxsdtest/Particles',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB013.xml','./msxsdtest/Particles',valid,S27),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB014.xsd','./msxsdtest/Particles',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB014.xml','./msxsdtest/Particles',valid,S28),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesB015.xsd','./msxsdtest/Particles',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesB015.xml','./msxsdtest/Particles',invalid,S29),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList30,ITResList30).
+
+%% 3.9.4 Particle Validation Rules: Element Sequence Locally Valid.
+
+particlesCDE(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC001.xsd','./msxsdtest/Particles',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC001.xml','./msxsdtest/Particles',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC002.xsd','./msxsdtest/Particles',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC002.xml','./msxsdtest/Particles',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC003.xsd','./msxsdtest/Particles',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC003.xml','./msxsdtest/Particles',valid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC004.xsd','./msxsdtest/Particles',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC004.xml','./msxsdtest/Particles',valid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC005.xsd','./msxsdtest/Particles',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC005.xml','./msxsdtest/Particles',valid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC006.xsd','./msxsdtest/Particles',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC006.xml','./msxsdtest/Particles',valid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC007.xsd','./msxsdtest/Particles',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC007.xml','./msxsdtest/Particles',invalid,S6),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC008.xsd','./msxsdtest/Particles',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC008.xml','./msxsdtest/Particles',valid,S7),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC009.xsd','./msxsdtest/Particles',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC009.xml','./msxsdtest/Particles',valid,S8),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC010.xsd','./msxsdtest/Particles',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC010.xml','./msxsdtest/Particles',valid,S9),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC011.xsd','./msxsdtest/Particles',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC011.xml','./msxsdtest/Particles',valid,S10),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC012.xsd','./msxsdtest/Particles',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC012.xml','./msxsdtest/Particles',invalid,S11),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC013.xsd','./msxsdtest/Particles',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC013.xml','./msxsdtest/Particles',invalid,S12),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC014.xsd','./msxsdtest/Particles',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC014.xml','./msxsdtest/Particles',invalid,S13),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC015.xsd','./msxsdtest/Particles',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC015.xml','./msxsdtest/Particles',valid,S14),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC016.xsd','./msxsdtest/Particles',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC016.xml','./msxsdtest/Particles',valid,S15),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC017.xsd','./msxsdtest/Particles',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC017.xml','./msxsdtest/Particles',invalid,S16),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC018.xsd','./msxsdtest/Particles',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC018.xml','./msxsdtest/Particles',invalid,S17),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC019.xsd','./msxsdtest/Particles',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC019.xml','./msxsdtest/Particles',invalid,S18),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC020.xsd','./msxsdtest/Particles',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC020.xml','./msxsdtest/Particles',invalid,S19),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC021.xsd','./msxsdtest/Particles',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC021.xml','./msxsdtest/Particles',valid,S20),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC022.xsd','./msxsdtest/Particles',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC022.xml','./msxsdtest/Particles',invalid,S21),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC023.xsd','./msxsdtest/Particles',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC023.xml','./msxsdtest/Particles',invalid,S22),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC024.xsd','./msxsdtest/Particles',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC024.xml','./msxsdtest/Particles',invalid,S23),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC025.xsd','./msxsdtest/Particles',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC025.xml','./msxsdtest/Particles',invalid,S24),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC026.xsd','./msxsdtest/Particles',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC026.xml','./msxsdtest/Particles',invalid,S25),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC027.xsd','./msxsdtest/Particles',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC027.xml','./msxsdtest/Particles',valid,S26),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC028.xsd','./msxsdtest/Particles',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC028.xml','./msxsdtest/Particles',valid,S27),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC029.xsd','./msxsdtest/Particles',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC029.xml','./msxsdtest/Particles',valid,S28),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC030.xsd','./msxsdtest/Particles',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC030.xml','./msxsdtest/Particles',valid,S29),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC031.xsd','./msxsdtest/Particles',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC031.xml','./msxsdtest/Particles',invalid,S30),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC032.xsd','./msxsdtest/Particles',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC032.xml','./msxsdtest/Particles',invalid,S31),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC033.xsd','./msxsdtest/Particles',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC033.xml','./msxsdtest/Particles',invalid,S32),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC034.xsd','./msxsdtest/Particles',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC034.xml','./msxsdtest/Particles',valid,S33),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC035.xsd','./msxsdtest/Particles',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC035.xml','./msxsdtest/Particles',invalid,S34),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC036.xsd','./msxsdtest/Particles',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC036.xml','./msxsdtest/Particles',invalid,S35),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC037.xsd','./msxsdtest/Particles',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC037.xml','./msxsdtest/Particles',valid,S36),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC038.xsd','./msxsdtest/Particles',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC038.xml','./msxsdtest/Particles',invalid,S37),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC039.xsd','./msxsdtest/Particles',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC039.xml','./msxsdtest/Particles',invalid,S38),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC040.xsd','./msxsdtest/Particles',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC040.xml','./msxsdtest/Particles',valid,S39),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC041.xsd','./msxsdtest/Particles',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC041.xml','./msxsdtest/Particles',valid,S40),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC042.xsd','./msxsdtest/Particles',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC042.xml','./msxsdtest/Particles',invalid,S41),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC043.xsd','./msxsdtest/Particles',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC043.xml','./msxsdtest/Particles',valid,S42),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC044.xsd','./msxsdtest/Particles',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC044.xml','./msxsdtest/Particles',valid,S43),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC045.xsd','./msxsdtest/Particles',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC045.xml','./msxsdtest/Particles',valid,S44),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes45,S45} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC046.xsd','./msxsdtest/Particles',valid),
+ STResList46 = [STRes45|STResList45],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC046.xml','./msxsdtest/Particles',valid,S45),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC047.xsd','./msxsdtest/Particles',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC047.xml','./msxsdtest/Particles',invalid,S46),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesC048.xsd','./msxsdtest/Particles',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesC048.xml','./msxsdtest/Particles',invalid,S47),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa001.xsd','./msxsdtest/Particles',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa001.xml','./msxsdtest/Particles',invalid,S48),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa002.xsd','./msxsdtest/Particles',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa002.xml','./msxsdtest/Particles',valid,S49),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa003.xsd','./msxsdtest/Particles',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa003.xml','./msxsdtest/Particles',invalid,S50),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa004.xsd','./msxsdtest/Particles',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa004.xml','./msxsdtest/Particles',invalid,S51),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa005.xsd','./msxsdtest/Particles',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa005.xml','./msxsdtest/Particles',invalid,S52),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes53,S53} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa006.xsd','./msxsdtest/Particles',valid),
+ STResList54 = [STRes53|STResList53],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa006.xml','./msxsdtest/Particles',invalid,S53),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes54,S54} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa007.xsd','./msxsdtest/Particles',valid),
+ STResList55 = [STRes54|STResList54],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa007.xml','./msxsdtest/Particles',valid,S54),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes55,S55} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa008.xsd','./msxsdtest/Particles',valid),
+ STResList56 = [STRes55|STResList55],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa008.xml','./msxsdtest/Particles',invalid,S55),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes56,S56} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa009.xsd','./msxsdtest/Particles',valid),
+ STResList57 = [STRes56|STResList56],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa009.xml','./msxsdtest/Particles',invalid,S56),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa010.xsd','./msxsdtest/Particles',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa010.xml','./msxsdtest/Particles',invalid,S57),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes58,S58} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDa011.xsd','./msxsdtest/Particles',valid),
+ STResList59 = [STRes58|STResList58],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDa011.xml','./msxsdtest/Particles',invalid,S58),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb001.xsd','./msxsdtest/Particles',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb001.xml','./msxsdtest/Particles',invalid,S59),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb002.xsd','./msxsdtest/Particles',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb002.xml','./msxsdtest/Particles',valid,S60),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes61,S61} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb003.xsd','./msxsdtest/Particles',valid),
+ STResList62 = [STRes61|STResList61],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb003.xml','./msxsdtest/Particles',invalid,S61),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb004.xsd','./msxsdtest/Particles',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb004.xml','./msxsdtest/Particles',invalid,S62),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb005.xsd','./msxsdtest/Particles',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb005.xml','./msxsdtest/Particles',invalid,S63),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb006.xsd','./msxsdtest/Particles',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb006.xml','./msxsdtest/Particles',invalid,S64),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb007.xsd','./msxsdtest/Particles',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb007.xml','./msxsdtest/Particles',valid,S65),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb008.xsd','./msxsdtest/Particles',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb008.xml','./msxsdtest/Particles',invalid,S66),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes67,S67} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb009.xsd','./msxsdtest/Particles',valid),
+ STResList68 = [STRes67|STResList67],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb009.xml','./msxsdtest/Particles',invalid,S67),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb010.xsd','./msxsdtest/Particles',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb010.xml','./msxsdtest/Particles',invalid,S68),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes69,S69} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDb011.xsd','./msxsdtest/Particles',valid),
+ STResList70 = [STRes69|STResList69],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDb011.xml','./msxsdtest/Particles',invalid,S69),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes70,S70} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc001.xsd','./msxsdtest/Particles',valid),
+ STResList71 = [STRes70|STResList70],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc001.xml','./msxsdtest/Particles',valid,S70),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes71,S71} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc002.xsd','./msxsdtest/Particles',valid),
+ STResList72 = [STRes71|STResList71],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc002.xml','./msxsdtest/Particles',valid,S71),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes72,S72} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc003.xsd','./msxsdtest/Particles',valid),
+ STResList73 = [STRes72|STResList72],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc003.xml','./msxsdtest/Particles',valid,S72),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes73,S73} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc004.xsd','./msxsdtest/Particles',valid),
+ STResList74 = [STRes73|STResList73],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc004.xml','./msxsdtest/Particles',valid,S73),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes74,S74} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc005.xsd','./msxsdtest/Particles',valid),
+ STResList75 = [STRes74|STResList74],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc005.xml','./msxsdtest/Particles',valid,S74),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes75,S75} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc006.xsd','./msxsdtest/Particles',valid),
+ STResList76 = [STRes75|STResList75],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc006.xml','./msxsdtest/Particles',valid,S75),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes76,S76} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc007.xsd','./msxsdtest/Particles',valid),
+ STResList77 = [STRes76|STResList76],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc007.xml','./msxsdtest/Particles',valid,S76),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc008.xsd','./msxsdtest/Particles',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc008.xml','./msxsdtest/Particles',valid,S77),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesDc009.xsd','./msxsdtest/Particles',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesDc009.xml','./msxsdtest/Particles',valid,S78),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes79,S79} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa001.xsd','./msxsdtest/Particles',valid),
+ STResList80 = [STRes79|STResList79],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa001.xml','./msxsdtest/Particles',valid,S79),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa002.xsd','./msxsdtest/Particles',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa002.xml','./msxsdtest/Particles',invalid,S80),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes81,S81} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa003.xsd','./msxsdtest/Particles',valid),
+ STResList82 = [STRes81|STResList81],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa003.xml','./msxsdtest/Particles',valid,S81),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes82,S82} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa004.xsd','./msxsdtest/Particles',valid),
+ STResList83 = [STRes82|STResList82],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa004.xml','./msxsdtest/Particles',valid,S82),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes83,S83} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa005.xsd','./msxsdtest/Particles',valid),
+ STResList84 = [STRes83|STResList83],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa005.xml','./msxsdtest/Particles',invalid,S83),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes84,S84} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa006.xsd','./msxsdtest/Particles',valid),
+ STResList85 = [STRes84|STResList84],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa006.xml','./msxsdtest/Particles',invalid,S84),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes85,S85} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa007.xsd','./msxsdtest/Particles',valid),
+ STResList86 = [STRes85|STResList85],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa007.xml','./msxsdtest/Particles',invalid,S85),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes86,S86} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa008.xsd','./msxsdtest/Particles',valid),
+ STResList87 = [STRes86|STResList86],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa008.xml','./msxsdtest/Particles',valid,S86),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes87,S87} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa009.xsd','./msxsdtest/Particles',valid),
+ STResList88 = [STRes87|STResList87],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa009.xml','./msxsdtest/Particles',invalid,S87),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes88,S88} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa010.xsd','./msxsdtest/Particles',valid),
+ STResList89 = [STRes88|STResList88],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa010.xml','./msxsdtest/Particles',valid,S88),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes89,S89} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa011.xsd','./msxsdtest/Particles',valid),
+ STResList90 = [STRes89|STResList89],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa011.xml','./msxsdtest/Particles',valid,S89),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes90,S90} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa012.xsd','./msxsdtest/Particles',valid),
+ STResList91 = [STRes90|STResList90],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa012.xml','./msxsdtest/Particles',invalid,S90),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes91,S91} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa013.xsd','./msxsdtest/Particles',valid),
+ STResList92 = [STRes91|STResList91],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa013.xml','./msxsdtest/Particles',invalid,S91),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes92,S92} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa014.xsd','./msxsdtest/Particles',valid),
+ STResList93 = [STRes92|STResList92],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa014.xml','./msxsdtest/Particles',invalid,S92),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes93,S93} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa015.xsd','./msxsdtest/Particles',valid),
+ STResList94 = [STRes93|STResList93],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa015.xml','./msxsdtest/Particles',valid,S93),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes94,S94} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa016.xsd','./msxsdtest/Particles',valid),
+ STResList95 = [STRes94|STResList94],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa016.xml','./msxsdtest/Particles',invalid,S94),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes95,S95} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa017.xsd','./msxsdtest/Particles',valid),
+ STResList96 = [STRes95|STResList95],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa017.xml','./msxsdtest/Particles',valid,S95),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes96,S96} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa018.xsd','./msxsdtest/Particles',valid),
+ STResList97 = [STRes96|STResList96],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa018.xml','./msxsdtest/Particles',valid,S96),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes97,S97} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa019.xsd','./msxsdtest/Particles',valid),
+ STResList98 = [STRes97|STResList97],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa019.xml','./msxsdtest/Particles',invalid,S97),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes98,S98} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa020.xsd','./msxsdtest/Particles',valid),
+ STResList99 = [STRes98|STResList98],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa020.xml','./msxsdtest/Particles',invalid,S98),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes99,S99} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa021.xsd','./msxsdtest/Particles',valid),
+ STResList100 = [STRes99|STResList99],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEa021.xml','./msxsdtest/Particles',invalid,S99),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes100,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa022.xsd','./msxsdtest/Particles',invalid),
+ STResList101 = [STRes100|STResList100],
+
+
+ ?line {STRes101,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa023.xsd','./msxsdtest/Particles',invalid),
+ STResList102 = [STRes101|STResList101],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa024.xsd','./msxsdtest/Particles',invalid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEa025.xsd','./msxsdtest/Particles',invalid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,S104} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb001.xsd','./msxsdtest/Particles',valid),
+ STResList105 = [STRes104|STResList104],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb001.xml','./msxsdtest/Particles',valid,S104),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes105,S105} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb002.xsd','./msxsdtest/Particles',valid),
+ STResList106 = [STRes105|STResList105],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb002.xml','./msxsdtest/Particles',invalid,S105),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes106,S106} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb003.xsd','./msxsdtest/Particles',valid),
+ STResList107 = [STRes106|STResList106],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb003.xml','./msxsdtest/Particles',valid,S106),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb004.xsd','./msxsdtest/Particles',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb004.xml','./msxsdtest/Particles',invalid,S107),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes108,S108} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb005.xsd','./msxsdtest/Particles',valid),
+ STResList109 = [STRes108|STResList108],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb005.xml','./msxsdtest/Particles',invalid,S108),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes109,S109} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb006.xsd','./msxsdtest/Particles',valid),
+ STResList110 = [STRes109|STResList109],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb006.xml','./msxsdtest/Particles',invalid,S109),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes110,S110} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb007.xsd','./msxsdtest/Particles',valid),
+ STResList111 = [STRes110|STResList110],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb007.xml','./msxsdtest/Particles',invalid,S110),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes111,S111} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb008.xsd','./msxsdtest/Particles',valid),
+ STResList112 = [STRes111|STResList111],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb008.xml','./msxsdtest/Particles',invalid,S111),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ ?line {STRes112,S112} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb009.xsd','./msxsdtest/Particles',valid),
+ STResList113 = [STRes112|STResList112],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb009.xml','./msxsdtest/Particles',invalid,S112),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes113,S113} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb010.xsd','./msxsdtest/Particles',valid),
+ STResList114 = [STRes113|STResList113],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb010.xml','./msxsdtest/Particles',valid,S113),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes114,S114} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb011.xsd','./msxsdtest/Particles',valid),
+ STResList115 = [STRes114|STResList114],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb011.xml','./msxsdtest/Particles',invalid,S114),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes115,S115} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb012.xsd','./msxsdtest/Particles',valid),
+ STResList116 = [STRes115|STResList115],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb012.xml','./msxsdtest/Particles',invalid,S115),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes116,S116} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb013.xsd','./msxsdtest/Particles',valid),
+ STResList117 = [STRes116|STResList116],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb013.xml','./msxsdtest/Particles',invalid,S116),
+ ITResList113 = [ITRes112|ITResList112],
+
+
+ ?line {STRes117,S117} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb014.xsd','./msxsdtest/Particles',valid),
+ STResList118 = [STRes117|STResList117],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb014.xml','./msxsdtest/Particles',invalid,S117),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes118,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb015.xsd','./msxsdtest/Particles',invalid),
+ STResList119 = [STRes118|STResList118],
+
+
+ ?line {STRes119,S119} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb016.xsd','./msxsdtest/Particles',valid),
+ STResList120 = [STRes119|STResList119],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb016.xml','./msxsdtest/Particles',valid,S119),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes120,S120} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb017.xsd','./msxsdtest/Particles',valid),
+ STResList121 = [STRes120|STResList120],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb017.xml','./msxsdtest/Particles',invalid,S120),
+ ITResList116 = [ITRes115|ITResList115],
+
+
+ ?line {STRes121,S121} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb018.xsd','./msxsdtest/Particles',valid),
+ STResList122 = [STRes121|STResList121],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb018.xml','./msxsdtest/Particles',invalid,S121),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes122,S122} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb019.xsd','./msxsdtest/Particles',valid),
+ STResList123 = [STRes122|STResList122],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb019.xml','./msxsdtest/Particles',valid,S122),
+ ITResList118 = [ITRes117|ITResList117],
+
+
+ ?line {STRes123,S123} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb020.xsd','./msxsdtest/Particles',valid),
+ STResList124 = [STRes123|STResList123],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb020.xml','./msxsdtest/Particles',invalid,S123),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes124,S124} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb021.xsd','./msxsdtest/Particles',valid),
+ STResList125 = [STRes124|STResList124],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb021.xml','./msxsdtest/Particles',invalid,S124),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes125,S125} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb022.xsd','./msxsdtest/Particles',valid),
+ STResList126 = [STRes125|STResList125],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb022.xml','./msxsdtest/Particles',invalid,S125),
+ ITResList121 = [ITRes120|ITResList120],
+
+
+ ?line {STRes126,S126} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb023.xsd','./msxsdtest/Particles',valid),
+ STResList127 = [STRes126|STResList126],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb023.xml','./msxsdtest/Particles',invalid,S126),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes127,S127} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb024.xsd','./msxsdtest/Particles',valid),
+ STResList128 = [STRes127|STResList127],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb024.xml','./msxsdtest/Particles',invalid,S127),
+ ITResList123 = [ITRes122|ITResList122],
+
+
+ ?line {STRes128,S128} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb025.xsd','./msxsdtest/Particles',valid),
+ STResList129 = [STRes128|STResList128],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb025.xml','./msxsdtest/Particles',invalid,S128),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes129,S129} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb026.xsd','./msxsdtest/Particles',valid),
+ STResList130 = [STRes129|STResList129],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb026.xml','./msxsdtest/Particles',valid,S129),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes130,S130} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb027.xsd','./msxsdtest/Particles',valid),
+ STResList131 = [STRes130|STResList130],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb027.xml','./msxsdtest/Particles',valid,S130),
+ ITResList126 = [ITRes125|ITResList125],
+
+
+ ?line {STRes131,S131} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb028.xsd','./msxsdtest/Particles',valid),
+ STResList132 = [STRes131|STResList131],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb028.xml','./msxsdtest/Particles',invalid,S131),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ ?line {STRes132,S132} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb029.xsd','./msxsdtest/Particles',valid),
+ STResList133 = [STRes132|STResList132],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb029.xml','./msxsdtest/Particles',invalid,S132),
+ ITResList128 = [ITRes127|ITResList127],
+
+
+ ?line {STRes133,S133} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb030.xsd','./msxsdtest/Particles',valid),
+ STResList134 = [STRes133|STResList133],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb030.xml','./msxsdtest/Particles',invalid,S133),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes134,S134} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb031.xsd','./msxsdtest/Particles',valid),
+ STResList135 = [STRes134|STResList134],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb031.xml','./msxsdtest/Particles',invalid,S134),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ ?line {STRes135,S135} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb032.xsd','./msxsdtest/Particles',valid),
+ STResList136 = [STRes135|STResList135],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb032.xml','./msxsdtest/Particles',invalid,S135),
+ ITResList131 = [ITRes130|ITResList130],
+
+
+ ?line {STRes136,S136} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb033.xsd','./msxsdtest/Particles',valid),
+ STResList137 = [STRes136|STResList136],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb033.xml','./msxsdtest/Particles',invalid,S136),
+ ITResList132 = [ITRes131|ITResList131],
+
+
+ ?line {STRes137,S137} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb034.xsd','./msxsdtest/Particles',valid),
+ STResList138 = [STRes137|STResList137],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb034.xml','./msxsdtest/Particles',invalid,S137),
+ ITResList133 = [ITRes132|ITResList132],
+
+
+ ?line {STRes138,S138} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb035.xsd','./msxsdtest/Particles',valid),
+ STResList139 = [STRes138|STResList138],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb035.xml','./msxsdtest/Particles',invalid,S138),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes139,S139} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb036.xsd','./msxsdtest/Particles',valid),
+ STResList140 = [STRes139|STResList139],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb036.xml','./msxsdtest/Particles',valid,S139),
+ ITResList135 = [ITRes134|ITResList134],
+
+
+ ?line {STRes140,S140} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb037.xsd','./msxsdtest/Particles',valid),
+ STResList141 = [STRes140|STResList140],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb037.xml','./msxsdtest/Particles',invalid,S140),
+ ITResList136 = [ITRes135|ITResList135],
+
+
+ ?line {STRes141,S141} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb038.xsd','./msxsdtest/Particles',valid),
+ STResList142 = [STRes141|STResList141],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb038.xml','./msxsdtest/Particles',valid,S141),
+ ITResList137 = [ITRes136|ITResList136],
+
+
+ ?line {STRes142,S142} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEb039.xsd','./msxsdtest/Particles',valid),
+ STResList143 = [STRes142|STResList142],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEb039.xml','./msxsdtest/Particles',invalid,S142),
+ ITResList138 = [ITRes137|ITResList137],
+
+
+ ?line {STRes143,S143} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc001.xsd','./msxsdtest/Particles',valid),
+ STResList144 = [STRes143|STResList143],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc001.xml','./msxsdtest/Particles',valid,S143),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes144,S144} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc002.xsd','./msxsdtest/Particles',valid),
+ STResList145 = [STRes144|STResList144],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc002.xml','./msxsdtest/Particles',valid,S144),
+ ITResList140 = [ITRes139|ITResList139],
+
+
+ ?line {STRes145,S145} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc003.xsd','./msxsdtest/Particles',valid),
+ STResList146 = [STRes145|STResList145],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc003.xml','./msxsdtest/Particles',invalid,S145),
+ ITResList141 = [ITRes140|ITResList140],
+
+
+ ?line {STRes146,S146} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc004.xsd','./msxsdtest/Particles',valid),
+ STResList147 = [STRes146|STResList146],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc004.xml','./msxsdtest/Particles',invalid,S146),
+ ITResList142 = [ITRes141|ITResList141],
+
+
+ ?line {STRes147,S147} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc005.xsd','./msxsdtest/Particles',valid),
+ STResList148 = [STRes147|STResList147],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc005.xml','./msxsdtest/Particles',invalid,S147),
+ ITResList143 = [ITRes142|ITResList142],
+
+
+ ?line {STRes148,S148} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc006.xsd','./msxsdtest/Particles',valid),
+ STResList149 = [STRes148|STResList148],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc006.xml','./msxsdtest/Particles',valid,S148),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes149,S149} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc007.xsd','./msxsdtest/Particles',valid),
+ STResList150 = [STRes149|STResList149],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc007.xml','./msxsdtest/Particles',invalid,S149),
+ ITResList145 = [ITRes144|ITResList144],
+
+
+ ?line {STRes150,S150} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc008.xsd','./msxsdtest/Particles',valid),
+ STResList151 = [STRes150|STResList150],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc008.xml','./msxsdtest/Particles',invalid,S150),
+ ITResList146 = [ITRes145|ITResList145],
+
+
+ ?line {STRes151,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc009.xsd','./msxsdtest/Particles',invalid),
+ STResList152 = [STRes151|STResList151],
+
+
+ ?line {STRes152,S152} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc010.xsd','./msxsdtest/Particles',valid),
+ STResList153 = [STRes152|STResList152],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc010.xml','./msxsdtest/Particles',valid,S152),
+ ITResList147 = [ITRes146|ITResList146],
+
+
+ ?line {STRes153,S153} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc011.xsd','./msxsdtest/Particles',valid),
+ STResList154 = [STRes153|STResList153],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc011.xml','./msxsdtest/Particles',invalid,S153),
+ ITResList148 = [ITRes147|ITResList147],
+
+
+ ?line {STRes154,S154} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc012.xsd','./msxsdtest/Particles',valid),
+ STResList155 = [STRes154|STResList154],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc012.xml','./msxsdtest/Particles',valid,S154),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes155,S155} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc013.xsd','./msxsdtest/Particles',valid),
+ STResList156 = [STRes155|STResList155],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc013.xml','./msxsdtest/Particles',invalid,S155),
+ ITResList150 = [ITRes149|ITResList149],
+
+
+ ?line {STRes156,S156} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc014.xsd','./msxsdtest/Particles',valid),
+ STResList157 = [STRes156|STResList156],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc014.xml','./msxsdtest/Particles',invalid,S156),
+ ITResList151 = [ITRes150|ITResList150],
+
+
+ ?line {STRes157,S157} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc015.xsd','./msxsdtest/Particles',valid),
+ STResList158 = [STRes157|STResList157],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc015.xml','./msxsdtest/Particles',invalid,S157),
+ ITResList152 = [ITRes151|ITResList151],
+
+
+ ?line {STRes158,S158} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc016.xsd','./msxsdtest/Particles',valid),
+ STResList159 = [STRes158|STResList158],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc016.xml','./msxsdtest/Particles',valid,S158),
+ ITResList153 = [ITRes152|ITResList152],
+
+
+ ?line {STRes159,S159} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc017.xsd','./msxsdtest/Particles',valid),
+ STResList160 = [STRes159|STResList159],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc017.xml','./msxsdtest/Particles',valid,S159),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes160,S160} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc018.xsd','./msxsdtest/Particles',valid),
+ STResList161 = [STRes160|STResList160],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc018.xml','./msxsdtest/Particles',valid,S160),
+ ITResList155 = [ITRes154|ITResList154],
+
+
+ ?line {STRes161,S161} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc019.xsd','./msxsdtest/Particles',valid),
+ STResList162 = [STRes161|STResList161],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc019.xml','./msxsdtest/Particles',valid,S161),
+ ITResList156 = [ITRes155|ITResList155],
+
+
+ ?line {STRes162,S162} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc020.xsd','./msxsdtest/Particles',valid),
+ STResList163 = [STRes162|STResList162],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc020.xml','./msxsdtest/Particles',valid,S162),
+ ITResList157 = [ITRes156|ITResList156],
+
+
+ ?line {STRes163,S163} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc021.xsd','./msxsdtest/Particles',valid),
+ STResList164 = [STRes163|STResList163],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc021.xml','./msxsdtest/Particles',valid,S163),
+ ITResList158 = [ITRes157|ITResList157],
+
+
+ ?line {STRes164,S164} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc022.xsd','./msxsdtest/Particles',valid),
+ STResList165 = [STRes164|STResList164],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc022.xml','./msxsdtest/Particles',invalid,S164),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes165,S165} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc023.xsd','./msxsdtest/Particles',valid),
+ STResList166 = [STRes165|STResList165],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc023.xml','./msxsdtest/Particles',invalid,S165),
+ ITResList160 = [ITRes159|ITResList159],
+
+
+ ?line {STRes166,S166} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc024.xsd','./msxsdtest/Particles',valid),
+ STResList167 = [STRes166|STResList166],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc024.xml','./msxsdtest/Particles',invalid,S166),
+ ITResList161 = [ITRes160|ITResList160],
+
+
+ ?line {STRes167,S167} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc025.xsd','./msxsdtest/Particles',valid),
+ STResList168 = [STRes167|STResList167],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc025.xml','./msxsdtest/Particles',invalid,S167),
+ ITResList162 = [ITRes161|ITResList161],
+
+
+ ?line {STRes168,S168} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc026.xsd','./msxsdtest/Particles',valid),
+ STResList169 = [STRes168|STResList168],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc026.xml','./msxsdtest/Particles',invalid,S168),
+ ITResList163 = [ITRes162|ITResList162],
+
+
+ ?line {STRes169,S169} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc027.xsd','./msxsdtest/Particles',valid),
+ STResList170 = [STRes169|STResList169],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc027.xml','./msxsdtest/Particles',invalid,S169),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes170,S170} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc028.xsd','./msxsdtest/Particles',valid),
+ STResList171 = [STRes170|STResList170],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc028.xml','./msxsdtest/Particles',invalid,S170),
+ ITResList165 = [ITRes164|ITResList164],
+
+
+ ?line {STRes171,S171} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc029.xsd','./msxsdtest/Particles',valid),
+ STResList172 = [STRes171|STResList171],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc029.xml','./msxsdtest/Particles',valid,S171),
+ ITResList166 = [ITRes165|ITResList165],
+
+
+ ?line {STRes172,S172} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc030.xsd','./msxsdtest/Particles',valid),
+ STResList173 = [STRes172|STResList172],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc030.xml','./msxsdtest/Particles',valid,S172),
+ ITResList167 = [ITRes166|ITResList166],
+
+
+ ?line {STRes173,S173} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc031.xsd','./msxsdtest/Particles',valid),
+ STResList174 = [STRes173|STResList173],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc031.xml','./msxsdtest/Particles',valid,S173),
+ ITResList168 = [ITRes167|ITResList167],
+
+
+ ?line {STRes174,S174} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc032.xsd','./msxsdtest/Particles',valid),
+ STResList175 = [STRes174|STResList174],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc032.xml','./msxsdtest/Particles',valid,S174),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ ?line {STRes175,S175} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc033.xsd','./msxsdtest/Particles',valid),
+ STResList176 = [STRes175|STResList175],
+ ?line ITRes169 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc033.xml','./msxsdtest/Particles',valid,S175),
+ ITResList170 = [ITRes169|ITResList169],
+
+
+ ?line {STRes176,S176} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc034.xsd','./msxsdtest/Particles',valid),
+ STResList177 = [STRes176|STResList176],
+ ?line ITRes170 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc034.xml','./msxsdtest/Particles',valid,S176),
+ ITResList171 = [ITRes170|ITResList170],
+
+
+ ?line {STRes177,S177} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc035.xsd','./msxsdtest/Particles',valid),
+ STResList178 = [STRes177|STResList177],
+ ?line ITRes171 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc035.xml','./msxsdtest/Particles',valid,S177),
+ ITResList172 = [ITRes171|ITResList171],
+
+
+ ?line {STRes178,S178} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc036.xsd','./msxsdtest/Particles',valid),
+ STResList179 = [STRes178|STResList178],
+ ?line ITRes172 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc036.xml','./msxsdtest/Particles',valid,S178),
+ ITResList173 = [ITRes172|ITResList172],
+
+
+ ?line {STRes179,S179} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc037.xsd','./msxsdtest/Particles',valid),
+ STResList180 = [STRes179|STResList179],
+ ?line ITRes173 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc037.xml','./msxsdtest/Particles',valid,S179),
+ ITResList174 = [ITRes173|ITResList173],
+
+
+ ?line {STRes180,S180} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc038.xsd','./msxsdtest/Particles',valid),
+ STResList181 = [STRes180|STResList180],
+ ?line ITRes174 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc038.xml','./msxsdtest/Particles',invalid,S180),
+ ITResList175 = [ITRes174|ITResList174],
+
+
+ ?line {STRes181,S181} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc039.xsd','./msxsdtest/Particles',valid),
+ STResList182 = [STRes181|STResList181],
+ ?line ITRes175 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc039.xml','./msxsdtest/Particles',invalid,S181),
+ ITResList176 = [ITRes175|ITResList175],
+
+
+ ?line {STRes182,S182} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc040.xsd','./msxsdtest/Particles',valid),
+ STResList183 = [STRes182|STResList182],
+ ?line ITRes176 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc040.xml','./msxsdtest/Particles',invalid,S182),
+ ITResList177 = [ITRes176|ITResList176],
+
+
+ ?line {STRes183,S183} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEc041.xsd','./msxsdtest/Particles',valid),
+ STResList184 = [STRes183|STResList183],
+ ?line ITRes177 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesEc041.xml','./msxsdtest/Particles',invalid,S183),
+ ITResList178 = [ITRes177|ITResList177],
+
+
+ ?line {STRes184,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesEd001.xsd','./msxsdtest/Particles',invalid),
+ STResList185 = [STRes184|STResList184],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList185,ITResList178).
+
+%% 3.9.6 Schema Component Constraint: Particle ....
+
+particlesFHI(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFa001.xsd','./msxsdtest/Particles',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesFa001.xml','./msxsdtest/Particles',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFa002.xsd','./msxsdtest/Particles',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesFa002.xml','./msxsdtest/Particles',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFa003.xsd','./msxsdtest/Particles',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesFa003.xml','./msxsdtest/Particles',valid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFa004.xsd','./msxsdtest/Particles',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesFa004.xml','./msxsdtest/Particles',valid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFa005.xsd','./msxsdtest/Particles',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesFa005.xml','./msxsdtest/Particles',valid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFb001.xsd','./msxsdtest/Particles',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesFb001.xml','./msxsdtest/Particles',valid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFb002.xsd','./msxsdtest/Particles',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFb003.xsd','./msxsdtest/Particles',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFb004.xsd','./msxsdtest/Particles',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesFb004.xml','./msxsdtest/Particles',valid,S8),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFb005.xsd','./msxsdtest/Particles',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesFb006.xsd','./msxsdtest/Particles',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa001.xsd','./msxsdtest/Particles',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa001.xml','./msxsdtest/Particles',valid,S11),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa002.xsd','./msxsdtest/Particles',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa002.xml','./msxsdtest/Particles',valid,S12),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa003.xsd','./msxsdtest/Particles',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa003.xml','./msxsdtest/Particles',valid,S13),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa004.xsd','./msxsdtest/Particles',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa004.xml','./msxsdtest/Particles',valid,S14),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa005.xsd','./msxsdtest/Particles',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa005.xml','./msxsdtest/Particles',valid,S15),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa006.xsd','./msxsdtest/Particles',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa006.xml','./msxsdtest/Particles',valid,S16),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa007.xsd','./msxsdtest/Particles',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa007.xml','./msxsdtest/Particles',valid,S17),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa008.xsd','./msxsdtest/Particles',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa009.xsd','./msxsdtest/Particles',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa009.xml','./msxsdtest/Particles',valid,S19),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa010.xsd','./msxsdtest/Particles',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa010.xml','./msxsdtest/Particles',valid,S20),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa011.xsd','./msxsdtest/Particles',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa011.xml','./msxsdtest/Particles',valid,S21),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa012.xsd','./msxsdtest/Particles',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa012.xml','./msxsdtest/Particles',valid,S22),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa013.xsd','./msxsdtest/Particles',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa013.xml','./msxsdtest/Particles',valid,S23),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa014.xsd','./msxsdtest/Particles',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa014.xml','./msxsdtest/Particles',valid,S24),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa015.xsd','./msxsdtest/Particles',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa015.xml','./msxsdtest/Particles',valid,S25),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa016.xsd','./msxsdtest/Particles',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa016.xml','./msxsdtest/Particles',valid,S26),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa017.xsd','./msxsdtest/Particles',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa017.xml','./msxsdtest/Particles',valid,S27),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHa018.xsd','./msxsdtest/Particles',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesHa018.xml','./msxsdtest/Particles',valid,S28),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb001.xsd','./msxsdtest/Particles',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb002.xsd','./msxsdtest/Particles',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb003.xsd','./msxsdtest/Particles',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb004.xsd','./msxsdtest/Particles',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb006.xsd','./msxsdtest/Particles',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb007.xsd','./msxsdtest/Particles',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb008.xsd','./msxsdtest/Particles',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb009.xsd','./msxsdtest/Particles',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb010.xsd','./msxsdtest/Particles',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesHb011.xsd','./msxsdtest/Particles',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIa001.xsd','./msxsdtest/Particles',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIa001.xml','./msxsdtest/Particles',valid,S39),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIa003.xsd','./msxsdtest/Particles',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIa003.xml','./msxsdtest/Particles',valid,S40),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIa004.xsd','./msxsdtest/Particles',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIa004.xml','./msxsdtest/Particles',valid,S41),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIa005.xsd','./msxsdtest/Particles',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIa005.xml','./msxsdtest/Particles',valid,S42),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIa006.xsd','./msxsdtest/Particles',invalid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIa008.xsd','./msxsdtest/Particles',invalid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,S45} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIb001.xsd','./msxsdtest/Particles',valid),
+ STResList46 = [STRes45|STResList45],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIb001.xml','./msxsdtest/Particles',valid,S45),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIb002.xsd','./msxsdtest/Particles',invalid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIb003.xsd','./msxsdtest/Particles',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIb003.xml','./msxsdtest/Particles',valid,S47),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIb004.xsd','./msxsdtest/Particles',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIb005.xsd','./msxsdtest/Particles',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIb005.xml','./msxsdtest/Particles',valid,S49),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIb006.xsd','./msxsdtest/Particles',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIb007.xsd','./msxsdtest/Particles',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIc001.xsd','./msxsdtest/Particles',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIc001.xml','./msxsdtest/Particles',valid,S52),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIc002.xsd','./msxsdtest/Particles',invalid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIc003.xsd','./msxsdtest/Particles',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIc004.xsd','./msxsdtest/Particles',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,S56} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIc005.xsd','./msxsdtest/Particles',valid),
+ STResList57 = [STRes56|STResList56],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIc005.xml','./msxsdtest/Particles',valid,S56),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIc006.xsd','./msxsdtest/Particles',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIc006.xml','./msxsdtest/Particles',valid,S57),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes58,S58} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIc007.xsd','./msxsdtest/Particles',valid),
+ STResList59 = [STRes58|STResList58],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIc007.xml','./msxsdtest/Particles',valid,S58),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId001.xsd','./msxsdtest/Particles',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId001.xml','./msxsdtest/Particles',valid,S59),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId002.xsd','./msxsdtest/Particles',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId002.xml','./msxsdtest/Particles',valid,S60),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId003.xsd','./msxsdtest/Particles',invalid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId004.xsd','./msxsdtest/Particles',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId004.xml','./msxsdtest/Particles',valid,S62),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId005.xsd','./msxsdtest/Particles',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId005.xml','./msxsdtest/Particles',valid,S63),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId006.xsd','./msxsdtest/Particles',invalid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId007.xsd','./msxsdtest/Particles',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId007.xml','./msxsdtest/Particles',valid,S65),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId008.xsd','./msxsdtest/Particles',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId008.xml','./msxsdtest/Particles',valid,S66),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes67,S67} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId009.xsd','./msxsdtest/Particles',valid),
+ STResList68 = [STRes67|STResList67],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId009.xml','./msxsdtest/Particles',valid,S67),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId010.xsd','./msxsdtest/Particles',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId010.xml','./msxsdtest/Particles',valid,S68),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes69,S69} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId011.xsd','./msxsdtest/Particles',valid),
+ STResList70 = [STRes69|STResList69],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesId011.xml','./msxsdtest/Particles',valid,S69),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesId012.xsd','./msxsdtest/Particles',invalid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,S71} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe001.xsd','./msxsdtest/Particles',valid),
+ STResList72 = [STRes71|STResList71],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe001.xml','./msxsdtest/Particles',valid,S71),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes72,S72} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe002.xsd','./msxsdtest/Particles',valid),
+ STResList73 = [STRes72|STResList72],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe002.xml','./msxsdtest/Particles',valid,S72),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes73,S73} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe003.xsd','./msxsdtest/Particles',valid),
+ STResList74 = [STRes73|STResList73],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe003.xml','./msxsdtest/Particles',valid,S73),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes74,S74} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe004.xsd','./msxsdtest/Particles',valid),
+ STResList75 = [STRes74|STResList74],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe004.xml','./msxsdtest/Particles',valid,S74),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes75,S75} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe005.xsd','./msxsdtest/Particles',valid),
+ STResList76 = [STRes75|STResList75],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe005.xml','./msxsdtest/Particles',valid,S75),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe006.xsd','./msxsdtest/Particles',invalid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe007.xsd','./msxsdtest/Particles',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe007.xml','./msxsdtest/Particles',valid,S77),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe008.xsd','./msxsdtest/Particles',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe008.xml','./msxsdtest/Particles',valid,S78),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe009.xsd','./msxsdtest/Particles',invalid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe010.xsd','./msxsdtest/Particles',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe010.xml','./msxsdtest/Particles',valid,S80),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes81,S81} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe011.xsd','./msxsdtest/Particles',valid),
+ STResList82 = [STRes81|STResList81],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe011.xml','./msxsdtest/Particles',valid,S81),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe012.xsd','./msxsdtest/Particles',invalid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,S83} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe013.xsd','./msxsdtest/Particles',valid),
+ STResList84 = [STRes83|STResList83],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe013.xml','./msxsdtest/Particles',valid,S83),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe014.xsd','./msxsdtest/Particles',invalid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,S85} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe015.xsd','./msxsdtest/Particles',valid),
+ STResList86 = [STRes85|STResList85],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe015.xml','./msxsdtest/Particles',valid,S85),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes86,S86} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIe016.xsd','./msxsdtest/Particles',valid),
+ STResList87 = [STRes86|STResList86],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIe016.xml','./msxsdtest/Particles',valid,S86),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes87,S87} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf001.xsd','./msxsdtest/Particles',valid),
+ STResList88 = [STRes87|STResList87],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIf001.xml','./msxsdtest/Particles',valid,S87),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes88,S88} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf002.xsd','./msxsdtest/Particles',valid),
+ STResList89 = [STRes88|STResList88],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIf002.xml','./msxsdtest/Particles',valid,S88),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes89,S89} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf003.xsd','./msxsdtest/Particles',valid),
+ STResList90 = [STRes89|STResList89],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIf003.xml','./msxsdtest/Particles',valid,S89),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes90,S90} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf004.xsd','./msxsdtest/Particles',valid),
+ STResList91 = [STRes90|STResList90],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIf004.xml','./msxsdtest/Particles',valid,S90),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes91,S91} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf005.xsd','./msxsdtest/Particles',valid),
+ STResList92 = [STRes91|STResList91],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIf005.xml','./msxsdtest/Particles',valid,S91),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes92,S92} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf006.xsd','./msxsdtest/Particles',valid),
+ STResList93 = [STRes92|STResList92],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIf006.xml','./msxsdtest/Particles',valid,S92),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes93,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf007.xsd','./msxsdtest/Particles',invalid),
+ STResList94 = [STRes93|STResList93],
+
+
+ ?line {STRes94,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf008.xsd','./msxsdtest/Particles',invalid),
+ STResList95 = [STRes94|STResList94],
+
+
+ ?line {STRes95,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIf009.xsd','./msxsdtest/Particles',invalid),
+ STResList96 = [STRes95|STResList95],
+
+
+ ?line {STRes96,S96} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg001.xsd','./msxsdtest/Particles',valid),
+ STResList97 = [STRes96|STResList96],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg001.xml','./msxsdtest/Particles',valid,S96),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes97,S97} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg002.xsd','./msxsdtest/Particles',valid),
+ STResList98 = [STRes97|STResList97],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg002.xml','./msxsdtest/Particles',valid,S97),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes98,S98} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg003.xsd','./msxsdtest/Particles',valid),
+ STResList99 = [STRes98|STResList98],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg003.xml','./msxsdtest/Particles',valid,S98),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg004.xsd','./msxsdtest/Particles',invalid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,S100} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg005.xsd','./msxsdtest/Particles',valid),
+ STResList101 = [STRes100|STResList100],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg005.xml','./msxsdtest/Particles',valid,S100),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes101,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg006.xsd','./msxsdtest/Particles',invalid),
+ STResList102 = [STRes101|STResList101],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg007.xsd','./msxsdtest/Particles',invalid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg008.xsd','./msxsdtest/Particles',invalid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg009.xsd','./msxsdtest/Particles',invalid),
+ STResList105 = [STRes104|STResList104],
+
+
+ ?line {STRes105,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg010.xsd','./msxsdtest/Particles',invalid),
+ STResList106 = [STRes105|STResList105],
+
+
+ ?line {STRes106,S106} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg011.xsd','./msxsdtest/Particles',valid),
+ STResList107 = [STRes106|STResList106],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg011.xml','./msxsdtest/Particles',valid,S106),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg012.xsd','./msxsdtest/Particles',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg012.xml','./msxsdtest/Particles',valid,S107),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes108,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg013.xsd','./msxsdtest/Particles',invalid),
+ STResList109 = [STRes108|STResList108],
+
+
+ ?line {STRes109,S109} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg014.xsd','./msxsdtest/Particles',valid),
+ STResList110 = [STRes109|STResList109],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg014.xml','./msxsdtest/Particles',valid,S109),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes110,S110} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg015.xsd','./msxsdtest/Particles',valid),
+ STResList111 = [STRes110|STResList110],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIg015.xml','./msxsdtest/Particles',valid,S110),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes111,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIg016.xsd','./msxsdtest/Particles',invalid),
+ STResList112 = [STRes111|STResList111],
+
+
+ ?line {STRes112,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIh001.xsd','./msxsdtest/Particles',invalid),
+ STResList113 = [STRes112|STResList112],
+
+
+ ?line {STRes113,S113} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj001.xsd','./msxsdtest/Particles',valid),
+ STResList114 = [STRes113|STResList113],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIj001.xml','./msxsdtest/Particles',valid,S113),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes114,S114} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj002.xsd','./msxsdtest/Particles',valid),
+ STResList115 = [STRes114|STResList114],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIj002.xml','./msxsdtest/Particles',valid,S114),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes115,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj003.xsd','./msxsdtest/Particles',invalid),
+ STResList116 = [STRes115|STResList115],
+
+
+ ?line {STRes116,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj004.xsd','./msxsdtest/Particles',invalid),
+ STResList117 = [STRes116|STResList116],
+
+
+ ?line {STRes117,S117} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj005.xsd','./msxsdtest/Particles',valid),
+ STResList118 = [STRes117|STResList117],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIj005.xml','./msxsdtest/Particles',valid,S117),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes118,S118} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj006.xsd','./msxsdtest/Particles',valid),
+ STResList119 = [STRes118|STResList118],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIj006.xml','./msxsdtest/Particles',valid,S118),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes119,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj007.xsd','./msxsdtest/Particles',invalid),
+ STResList120 = [STRes119|STResList119],
+
+
+ ?line {STRes120,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj008.xsd','./msxsdtest/Particles',invalid),
+ STResList121 = [STRes120|STResList120],
+
+
+ ?line {STRes121,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj009.xsd','./msxsdtest/Particles',invalid),
+ STResList122 = [STRes121|STResList121],
+
+
+ ?line {STRes122,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj010.xsd','./msxsdtest/Particles',invalid),
+ STResList123 = [STRes122|STResList122],
+
+
+ ?line {STRes123,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj011.xsd','./msxsdtest/Particles',invalid),
+ STResList124 = [STRes123|STResList123],
+
+
+ ?line {STRes124,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj012.xsd','./msxsdtest/Particles',invalid),
+ STResList125 = [STRes124|STResList124],
+
+
+ ?line {STRes125,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj013.xsd','./msxsdtest/Particles',invalid),
+ STResList126 = [STRes125|STResList125],
+
+
+ ?line {STRes126,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj015.xsd','./msxsdtest/Particles',invalid),
+ STResList127 = [STRes126|STResList126],
+
+
+ ?line {STRes127,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIj016.xsd','./msxsdtest/Particles',invalid),
+ STResList128 = [STRes127|STResList127],
+
+
+ ?line {STRes128,S128} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk001.xsd','./msxsdtest/Particles',valid),
+ STResList129 = [STRes128|STResList128],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIk001.xml','./msxsdtest/Particles',valid,S128),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes129,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk002.xsd','./msxsdtest/Particles',invalid),
+ STResList130 = [STRes129|STResList129],
+
+
+ ?line {STRes130,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk003.xsd','./msxsdtest/Particles',invalid),
+ STResList131 = [STRes130|STResList130],
+
+
+ ?line {STRes131,S131} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk004.xsd','./msxsdtest/Particles',valid),
+ STResList132 = [STRes131|STResList131],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIk004.xml','./msxsdtest/Particles',valid,S131),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes132,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk005.xsd','./msxsdtest/Particles',invalid),
+ STResList133 = [STRes132|STResList132],
+
+
+ ?line {STRes133,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk006.xsd','./msxsdtest/Particles',invalid),
+ STResList134 = [STRes133|STResList133],
+
+
+ ?line {STRes134,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk007.xsd','./msxsdtest/Particles',invalid),
+ STResList135 = [STRes134|STResList134],
+
+
+ ?line {STRes135,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk008.xsd','./msxsdtest/Particles',invalid),
+ STResList136 = [STRes135|STResList135],
+
+
+ ?line {STRes136,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk009.xsd','./msxsdtest/Particles',invalid),
+ STResList137 = [STRes136|STResList136],
+
+
+ ?line {STRes137,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk010.xsd','./msxsdtest/Particles',invalid),
+ STResList138 = [STRes137|STResList137],
+
+
+ ?line {STRes138,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk011.xsd','./msxsdtest/Particles',invalid),
+ STResList139 = [STRes138|STResList138],
+
+
+ ?line {STRes139,S139} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk012.xsd','./msxsdtest/Particles',valid),
+ STResList140 = [STRes139|STResList139],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIk012.xml','./msxsdtest/Particles',valid,S139),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes140,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk013.xsd','./msxsdtest/Particles',invalid),
+ STResList141 = [STRes140|STResList140],
+
+
+ ?line {STRes141,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk015.xsd','./msxsdtest/Particles',invalid),
+ STResList142 = [STRes141|STResList141],
+
+
+ ?line {STRes142,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk016.xsd','./msxsdtest/Particles',invalid),
+ STResList143 = [STRes142|STResList142],
+
+
+ ?line {STRes143,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk019.xsd','./msxsdtest/Particles',invalid),
+ STResList144 = [STRes143|STResList143],
+
+
+ ?line {STRes144,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk020.xsd','./msxsdtest/Particles',invalid),
+ STResList145 = [STRes144|STResList144],
+
+
+ ?line {STRes145,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk021.xsd','./msxsdtest/Particles',invalid),
+ STResList146 = [STRes145|STResList145],
+
+
+ ?line {STRes146,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk022.xsd','./msxsdtest/Particles',invalid),
+ STResList147 = [STRes146|STResList146],
+
+
+ ?line {STRes147,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk024.xsd','./msxsdtest/Particles',invalid),
+ STResList148 = [STRes147|STResList147],
+
+
+ ?line {STRes148,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk025.xsd','./msxsdtest/Particles',invalid),
+ STResList149 = [STRes148|STResList148],
+
+
+ ?line {STRes149,S149} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk026.xsd','./msxsdtest/Particles',valid),
+ STResList150 = [STRes149|STResList149],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesIk026.xml','./msxsdtest/Particles',valid,S149),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes150,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesIk027.xsd','./msxsdtest/Particles',invalid),
+ STResList151 = [STRes150|STResList150],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList151,ITResList78).
+
+%% 3.9.6 Particle Derivation.
+
+particlesJ(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa001.xsd','./msxsdtest/Particles',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa001.xml','./msxsdtest/Particles',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa002.xsd','./msxsdtest/Particles',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa002.xml','./msxsdtest/Particles',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa003.xsd','./msxsdtest/Particles',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa004.xsd','./msxsdtest/Particles',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa004.xml','./msxsdtest/Particles',valid,S3),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa005.xsd','./msxsdtest/Particles',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa005.xml','./msxsdtest/Particles',valid,S4),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa006.xsd','./msxsdtest/Particles',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa007.xsd','./msxsdtest/Particles',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa007.xml','./msxsdtest/Particles',valid,S6),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa008.xsd','./msxsdtest/Particles',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa008.xml','./msxsdtest/Particles',valid,S7),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa009.xsd','./msxsdtest/Particles',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa009.xml','./msxsdtest/Particles',valid,S8),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa010.xsd','./msxsdtest/Particles',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa010.xml','./msxsdtest/Particles',valid,S9),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa011.xsd','./msxsdtest/Particles',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJa011.xml','./msxsdtest/Particles',valid,S10),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJa012.xsd','./msxsdtest/Particles',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb001.xsd','./msxsdtest/Particles',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb001.xml','./msxsdtest/Particles',valid,S12),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb002.xsd','./msxsdtest/Particles',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb002.xml','./msxsdtest/Particles',valid,S13),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb003.xsd','./msxsdtest/Particles',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb003.xml','./msxsdtest/Particles',valid,S14),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb004.xsd','./msxsdtest/Particles',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb004.xml','./msxsdtest/Particles',valid,S15),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb005.xsd','./msxsdtest/Particles',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb005.xml','./msxsdtest/Particles',valid,S16),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb006.xsd','./msxsdtest/Particles',invalid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb007.xsd','./msxsdtest/Particles',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb007.xml','./msxsdtest/Particles',valid,S18),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb008.xsd','./msxsdtest/Particles',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb008.xml','./msxsdtest/Particles',valid,S19),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb009.xsd','./msxsdtest/Particles',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb010.xsd','./msxsdtest/Particles',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb010.xml','./msxsdtest/Particles',valid,S21),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb011.xsd','./msxsdtest/Particles',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb011.xml','./msxsdtest/Particles',valid,S22),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb012.xsd','./msxsdtest/Particles',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb013.xsd','./msxsdtest/Particles',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb013.xml','./msxsdtest/Particles',valid,S24),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb014.xsd','./msxsdtest/Particles',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb015.xsd','./msxsdtest/Particles',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb015.xml','./msxsdtest/Particles',valid,S26),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJb016.xsd','./msxsdtest/Particles',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJb016.xml','./msxsdtest/Particles',valid,S27),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc001.xsd','./msxsdtest/Particles',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc001.xml','./msxsdtest/Particles',valid,S28),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc002.xsd','./msxsdtest/Particles',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc002.xml','./msxsdtest/Particles',valid,S29),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc003.xsd','./msxsdtest/Particles',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc004.xsd','./msxsdtest/Particles',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc004.xml','./msxsdtest/Particles',valid,S31),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc005.xsd','./msxsdtest/Particles',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc005.xml','./msxsdtest/Particles',valid,S32),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc006.xsd','./msxsdtest/Particles',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc007.xsd','./msxsdtest/Particles',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc007.xml','./msxsdtest/Particles',valid,S34),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc008.xsd','./msxsdtest/Particles',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc008.xml','./msxsdtest/Particles',valid,S35),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc009.xsd','./msxsdtest/Particles',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc009.xml','./msxsdtest/Particles',valid,S36),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc010.xsd','./msxsdtest/Particles',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc010.xml','./msxsdtest/Particles',valid,S37),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc011.xsd','./msxsdtest/Particles',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJc011.xml','./msxsdtest/Particles',valid,S38),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJc012.xsd','./msxsdtest/Particles',invalid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd001.xsd','./msxsdtest/Particles',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd001.xml','./msxsdtest/Particles',valid,S40),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd002.xsd','./msxsdtest/Particles',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd002.xml','./msxsdtest/Particles',valid,S41),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd003.xsd','./msxsdtest/Particles',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd003.xml','./msxsdtest/Particles',valid,S42),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd004.xsd','./msxsdtest/Particles',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd004.xml','./msxsdtest/Particles',valid,S43),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd005.xsd','./msxsdtest/Particles',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd005.xml','./msxsdtest/Particles',valid,S44),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd006.xsd','./msxsdtest/Particles',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd007.xsd','./msxsdtest/Particles',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd007.xml','./msxsdtest/Particles',valid,S46),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd008.xsd','./msxsdtest/Particles',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd008.xml','./msxsdtest/Particles',valid,S47),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd009.xsd','./msxsdtest/Particles',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd010.xsd','./msxsdtest/Particles',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd010.xml','./msxsdtest/Particles',valid,S49),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd011.xsd','./msxsdtest/Particles',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd011.xml','./msxsdtest/Particles',valid,S50),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd012.xsd','./msxsdtest/Particles',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd013.xsd','./msxsdtest/Particles',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd013.xml','./msxsdtest/Particles',valid,S52),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd014.xsd','./msxsdtest/Particles',invalid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,S54} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd015.xsd','./msxsdtest/Particles',valid),
+ STResList55 = [STRes54|STResList54],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd015.xml','./msxsdtest/Particles',valid,S54),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes55,S55} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJd016.xsd','./msxsdtest/Particles',valid),
+ STResList56 = [STRes55|STResList55],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJd016.xml','./msxsdtest/Particles',valid,S55),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes56,S56} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe001.xsd','./msxsdtest/Particles',valid),
+ STResList57 = [STRes56|STResList56],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe001.xml','./msxsdtest/Particles',valid,S56),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe002.xsd','./msxsdtest/Particles',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe002.xml','./msxsdtest/Particles',valid,S57),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe003.xsd','./msxsdtest/Particles',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe004.xsd','./msxsdtest/Particles',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe004.xml','./msxsdtest/Particles',valid,S59),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe005.xsd','./msxsdtest/Particles',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe005.xml','./msxsdtest/Particles',valid,S60),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe006.xsd','./msxsdtest/Particles',invalid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,S62} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe007.xsd','./msxsdtest/Particles',valid),
+ STResList63 = [STRes62|STResList62],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe007.xml','./msxsdtest/Particles',valid,S62),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe008.xsd','./msxsdtest/Particles',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe008.xml','./msxsdtest/Particles',valid,S63),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes64,S64} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe009.xsd','./msxsdtest/Particles',valid),
+ STResList65 = [STRes64|STResList64],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe009.xml','./msxsdtest/Particles',valid,S64),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes65,S65} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe010.xsd','./msxsdtest/Particles',valid),
+ STResList66 = [STRes65|STResList65],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe010.xml','./msxsdtest/Particles',valid,S65),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes66,S66} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe011.xsd','./msxsdtest/Particles',valid),
+ STResList67 = [STRes66|STResList66],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJe011.xml','./msxsdtest/Particles',valid,S66),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJe012.xsd','./msxsdtest/Particles',invalid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf001.xsd','./msxsdtest/Particles',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf001.xml','./msxsdtest/Particles',valid,S68),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes69,S69} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf002.xsd','./msxsdtest/Particles',valid),
+ STResList70 = [STRes69|STResList69],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf002.xml','./msxsdtest/Particles',valid,S69),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes70,S70} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf003.xsd','./msxsdtest/Particles',valid),
+ STResList71 = [STRes70|STResList70],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf003.xml','./msxsdtest/Particles',valid,S70),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes71,S71} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf004.xsd','./msxsdtest/Particles',valid),
+ STResList72 = [STRes71|STResList71],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf004.xml','./msxsdtest/Particles',valid,S71),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes72,S72} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf005.xsd','./msxsdtest/Particles',valid),
+ STResList73 = [STRes72|STResList72],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf005.xml','./msxsdtest/Particles',valid,S72),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf006.xsd','./msxsdtest/Particles',invalid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,S74} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf007.xsd','./msxsdtest/Particles',valid),
+ STResList75 = [STRes74|STResList74],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf007.xml','./msxsdtest/Particles',valid,S74),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes75,S75} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf008.xsd','./msxsdtest/Particles',valid),
+ STResList76 = [STRes75|STResList75],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf008.xml','./msxsdtest/Particles',valid,S75),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf009.xsd','./msxsdtest/Particles',invalid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf010.xsd','./msxsdtest/Particles',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf010.xml','./msxsdtest/Particles',valid,S77),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf011.xsd','./msxsdtest/Particles',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf011.xml','./msxsdtest/Particles',valid,S78),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf012.xsd','./msxsdtest/Particles',invalid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf013.xsd','./msxsdtest/Particles',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf013.xml','./msxsdtest/Particles',valid,S80),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf014.xsd','./msxsdtest/Particles',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,S82} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf015.xsd','./msxsdtest/Particles',valid),
+ STResList83 = [STRes82|STResList82],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf015.xml','./msxsdtest/Particles',valid,S82),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes83,S83} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJf016.xsd','./msxsdtest/Particles',valid),
+ STResList84 = [STRes83|STResList83],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJf016.xml','./msxsdtest/Particles',valid,S83),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJg001.xsd','./msxsdtest/Particles',invalid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJh001.xsd','./msxsdtest/Particles',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,S86} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj001.xsd','./msxsdtest/Particles',valid),
+ STResList87 = [STRes86|STResList86],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj001.xml','./msxsdtest/Particles',valid,S86),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes87,S87} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj002.xsd','./msxsdtest/Particles',valid),
+ STResList88 = [STRes87|STResList87],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj002.xml','./msxsdtest/Particles',valid,S87),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes88,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj003.xsd','./msxsdtest/Particles',invalid),
+ STResList89 = [STRes88|STResList88],
+
+
+ ?line {STRes89,S89} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj004.xsd','./msxsdtest/Particles',valid),
+ STResList90 = [STRes89|STResList89],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj004.xml','./msxsdtest/Particles',valid,S89),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes90,S90} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj005.xsd','./msxsdtest/Particles',valid),
+ STResList91 = [STRes90|STResList90],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj005.xml','./msxsdtest/Particles',valid,S90),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes91,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj006.xsd','./msxsdtest/Particles',invalid),
+ STResList92 = [STRes91|STResList91],
+
+
+ ?line {STRes92,S92} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj007.xsd','./msxsdtest/Particles',valid),
+ STResList93 = [STRes92|STResList92],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj007.xml','./msxsdtest/Particles',valid,S92),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes93,S93} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj008.xsd','./msxsdtest/Particles',valid),
+ STResList94 = [STRes93|STResList93],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj008.xml','./msxsdtest/Particles',valid,S93),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes94,S94} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj009.xsd','./msxsdtest/Particles',valid),
+ STResList95 = [STRes94|STResList94],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj009.xml','./msxsdtest/Particles',valid,S94),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes95,S95} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj010.xsd','./msxsdtest/Particles',valid),
+ STResList96 = [STRes95|STResList95],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj010.xml','./msxsdtest/Particles',valid,S95),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes96,S96} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj011.xsd','./msxsdtest/Particles',valid),
+ STResList97 = [STRes96|STResList96],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJj011.xml','./msxsdtest/Particles',valid,S96),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes97,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJj012.xsd','./msxsdtest/Particles',invalid),
+ STResList98 = [STRes97|STResList97],
+
+
+ ?line {STRes98,S98} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk001.xsd','./msxsdtest/Particles',valid),
+ STResList99 = [STRes98|STResList98],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk001.xml','./msxsdtest/Particles',valid,S98),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes99,S99} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk002.xsd','./msxsdtest/Particles',valid),
+ STResList100 = [STRes99|STResList99],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk002.xml','./msxsdtest/Particles',valid,S99),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes100,S100} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk003.xsd','./msxsdtest/Particles',valid),
+ STResList101 = [STRes100|STResList100],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk003.xml','./msxsdtest/Particles',valid,S100),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes101,S101} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk004.xsd','./msxsdtest/Particles',valid),
+ STResList102 = [STRes101|STResList101],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk004.xml','./msxsdtest/Particles',valid,S101),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes102,S102} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk005.xsd','./msxsdtest/Particles',valid),
+ STResList103 = [STRes102|STResList102],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk005.xml','./msxsdtest/Particles',valid,S102),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk006.xsd','./msxsdtest/Particles',invalid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,S104} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk007.xsd','./msxsdtest/Particles',valid),
+ STResList105 = [STRes104|STResList104],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk007.xml','./msxsdtest/Particles',valid,S104),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes105,S105} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk008.xsd','./msxsdtest/Particles',valid),
+ STResList106 = [STRes105|STResList105],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk008.xml','./msxsdtest/Particles',valid,S105),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk009.xsd','./msxsdtest/Particles',invalid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk010.xsd','./msxsdtest/Particles',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk010.xml','./msxsdtest/Particles',valid,S107),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes108,S108} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk011.xsd','./msxsdtest/Particles',valid),
+ STResList109 = [STRes108|STResList108],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk011.xml','./msxsdtest/Particles',valid,S108),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes109,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk012.xsd','./msxsdtest/Particles',invalid),
+ STResList110 = [STRes109|STResList109],
+
+
+ ?line {STRes110,S110} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk013.xsd','./msxsdtest/Particles',valid),
+ STResList111 = [STRes110|STResList110],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk013.xml','./msxsdtest/Particles',valid,S110),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes111,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk014.xsd','./msxsdtest/Particles',invalid),
+ STResList112 = [STRes111|STResList111],
+
+
+ ?line {STRes112,S112} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesJk015.xsd','./msxsdtest/Particles',valid),
+ STResList113 = [STRes112|STResList112],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesJk015.xml','./msxsdtest/Particles',valid,S112),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList113,ITResList83).
+
+%% 3.9.6 Particle Restriction.
+
+particlesKOSRTQUVW(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesK001.xsd','./msxsdtest/Particles',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesK001.xml','./msxsdtest/Particles',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesK002.xsd','./msxsdtest/Particles',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesK002.xml','./msxsdtest/Particles',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesK003.xsd','./msxsdtest/Particles',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesK003.xml','./msxsdtest/Particles',valid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesK004.xsd','./msxsdtest/Particles',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesK005.xsd','./msxsdtest/Particles',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesK005.xml','./msxsdtest/Particles',valid,S4),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesK006.xsd','./msxsdtest/Particles',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesK008.xsd','./msxsdtest/Particles',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesK008.xml','./msxsdtest/Particles',valid,S6),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa001.xsd','./msxsdtest/Particles',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOa001.xml','./msxsdtest/Particles',valid,S7),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa002.xsd','./msxsdtest/Particles',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa003.xsd','./msxsdtest/Particles',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOa003.xml','./msxsdtest/Particles',valid,S9),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa004.xsd','./msxsdtest/Particles',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa005.xsd','./msxsdtest/Particles',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa006.xsd','./msxsdtest/Particles',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOa006.xml','./msxsdtest/Particles',valid,S12),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa007.xsd','./msxsdtest/Particles',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa008.xsd','./msxsdtest/Particles',invalid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa009.xsd','./msxsdtest/Particles',invalid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa010.xsd','./msxsdtest/Particles',invalid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa011.xsd','./msxsdtest/Particles',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOa011.xml','./msxsdtest/Particles',valid,S17),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa012.xsd','./msxsdtest/Particles',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOa012.xml','./msxsdtest/Particles',valid,S18),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa013.xsd','./msxsdtest/Particles',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOa013.xml','./msxsdtest/Particles',valid,S19),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa014.xsd','./msxsdtest/Particles',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOa014.xml','./msxsdtest/Particles',valid,S20),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOa015.xsd','./msxsdtest/Particles',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb001.xsd','./msxsdtest/Particles',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb001.xml','./msxsdtest/Particles',valid,S22),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb002.xsd','./msxsdtest/Particles',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb002.xml','./msxsdtest/Particles',valid,S23),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb003.xsd','./msxsdtest/Particles',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb003.xml','./msxsdtest/Particles',valid,S24),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb004.xsd','./msxsdtest/Particles',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb004.xml','./msxsdtest/Particles',valid,S25),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb005.xsd','./msxsdtest/Particles',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb005.xml','./msxsdtest/Particles',valid,S26),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb006.xsd','./msxsdtest/Particles',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb006.xml','./msxsdtest/Particles',valid,S27),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb007.xsd','./msxsdtest/Particles',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb007.xml','./msxsdtest/Particles',valid,S28),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb008.xsd','./msxsdtest/Particles',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb008.xml','./msxsdtest/Particles',valid,S29),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb009.xsd','./msxsdtest/Particles',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb009.xml','./msxsdtest/Particles',valid,S30),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb010.xsd','./msxsdtest/Particles',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb011.xsd','./msxsdtest/Particles',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb012.xsd','./msxsdtest/Particles',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb012.xml','./msxsdtest/Particles',valid,S33),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb013.xsd','./msxsdtest/Particles',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb013.xml','./msxsdtest/Particles',valid,S34),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb014.xsd','./msxsdtest/Particles',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb015.xsd','./msxsdtest/Particles',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb015.xml','./msxsdtest/Particles',valid,S36),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb016.xsd','./msxsdtest/Particles',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb017.xsd','./msxsdtest/Particles',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb018.xsd','./msxsdtest/Particles',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb018.xml','./msxsdtest/Particles',valid,S39),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb019.xsd','./msxsdtest/Particles',invalid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb020.xsd','./msxsdtest/Particles',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb021.xsd','./msxsdtest/Particles',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb022.xsd','./msxsdtest/Particles',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb022.xml','./msxsdtest/Particles',valid,S43),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb023.xsd','./msxsdtest/Particles',invalid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb024.xsd','./msxsdtest/Particles',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb025.xsd','./msxsdtest/Particles',invalid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb026.xsd','./msxsdtest/Particles',invalid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb027.xsd','./msxsdtest/Particles',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb028.xsd','./msxsdtest/Particles',invalid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb029.xsd','./msxsdtest/Particles',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb030.xsd','./msxsdtest/Particles',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb031.xsd','./msxsdtest/Particles',invalid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,S53} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb032.xsd','./msxsdtest/Particles',valid),
+ STResList54 = [STRes53|STResList53],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb032.xml','./msxsdtest/Particles',valid,S53),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb033.xsd','./msxsdtest/Particles',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb034.xsd','./msxsdtest/Particles',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb035.xsd','./msxsdtest/Particles',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb036.xsd','./msxsdtest/Particles',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb037.xsd','./msxsdtest/Particles',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb038.xsd','./msxsdtest/Particles',invalid),
+ STResList60 = [STRes59|STResList59],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb039.xsd','./msxsdtest/Particles',invalid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb040.xsd','./msxsdtest/Particles',invalid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb041.xsd','./msxsdtest/Particles',invalid),
+ STResList63 = [STRes62|STResList62],
+
+
+ ?line {STRes63,S63} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb042.xsd','./msxsdtest/Particles',valid),
+ STResList64 = [STRes63|STResList63],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb042.xml','./msxsdtest/Particles',valid,S63),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb043.xsd','./msxsdtest/Particles',invalid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb044.xsd','./msxsdtest/Particles',invalid),
+ STResList66 = [STRes65|STResList65],
+
+
+ ?line {STRes66,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb045.xsd','./msxsdtest/Particles',invalid),
+ STResList67 = [STRes66|STResList66],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb046.xsd','./msxsdtest/Particles',invalid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,S68} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb047.xsd','./msxsdtest/Particles',valid),
+ STResList69 = [STRes68|STResList68],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb047.xml','./msxsdtest/Particles',valid,S68),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes69,S69} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb048.xsd','./msxsdtest/Particles',valid),
+ STResList70 = [STRes69|STResList69],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb048.xml','./msxsdtest/Particles',valid,S69),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb049.xsd','./msxsdtest/Particles',invalid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb050.xsd','./msxsdtest/Particles',invalid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb051.xsd','./msxsdtest/Particles',invalid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,S73} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb052.xsd','./msxsdtest/Particles',valid),
+ STResList74 = [STRes73|STResList73],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb052.xml','./msxsdtest/Particles',valid,S73),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes74,S74} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb053.xsd','./msxsdtest/Particles',valid),
+ STResList75 = [STRes74|STResList74],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb053.xml','./msxsdtest/Particles',valid,S74),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes75,S75} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb054.xsd','./msxsdtest/Particles',valid),
+ STResList76 = [STRes75|STResList75],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb054.xml','./msxsdtest/Particles',valid,S75),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes76,S76} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb055.xsd','./msxsdtest/Particles',valid),
+ STResList77 = [STRes76|STResList76],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb055.xml','./msxsdtest/Particles',valid,S76),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes77,S77} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb056.xsd','./msxsdtest/Particles',valid),
+ STResList78 = [STRes77|STResList77],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb056.xml','./msxsdtest/Particles',valid,S77),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes78,S78} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb057.xsd','./msxsdtest/Particles',valid),
+ STResList79 = [STRes78|STResList78],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb057.xml','./msxsdtest/Particles',valid,S78),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb058.xsd','./msxsdtest/Particles',invalid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,S80} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb059.xsd','./msxsdtest/Particles',valid),
+ STResList81 = [STRes80|STResList80],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb059.xml','./msxsdtest/Particles',valid,S80),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes81,S81} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesOb060.xsd','./msxsdtest/Particles',valid),
+ STResList82 = [STRes81|STResList81],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesOb060.xml','./msxsdtest/Particles',valid,S81),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes82,S82} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS001.xsd','./msxsdtest/Particles',valid),
+ STResList83 = [STRes82|STResList82],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesS001.xml','./msxsdtest/Particles',valid,S82),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS002.xsd','./msxsdtest/Particles',invalid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,S84} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS003.xsd','./msxsdtest/Particles',valid),
+ STResList85 = [STRes84|STResList84],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesS003.xml','./msxsdtest/Particles',valid,S84),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes85,S85} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS004.xsd','./msxsdtest/Particles',valid),
+ STResList86 = [STRes85|STResList85],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesS004.xml','./msxsdtest/Particles',valid,S85),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS005.xsd','./msxsdtest/Particles',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ ?line {STRes87,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS006.xsd','./msxsdtest/Particles',invalid),
+ STResList88 = [STRes87|STResList87],
+
+
+ ?line {STRes88,S88} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS007.xsd','./msxsdtest/Particles',valid),
+ STResList89 = [STRes88|STResList88],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesS007.xml','./msxsdtest/Particles',valid,S88),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes89,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS008.xsd','./msxsdtest/Particles',invalid),
+ STResList90 = [STRes89|STResList89],
+
+
+ ?line {STRes90,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS009.xsd','./msxsdtest/Particles',invalid),
+ STResList91 = [STRes90|STResList90],
+
+
+ ?line {STRes91,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS010.xsd','./msxsdtest/Particles',invalid),
+ STResList92 = [STRes91|STResList91],
+
+
+ ?line {STRes92,S92} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesS011.xsd','./msxsdtest/Particles',valid),
+ STResList93 = [STRes92|STResList92],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesS011.xml','./msxsdtest/Particles',valid,S92),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes93,S93} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR001.xsd','./msxsdtest/Particles',valid),
+ STResList94 = [STRes93|STResList93],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR001.xml','./msxsdtest/Particles',valid,S93),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes94,S94} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR002.xsd','./msxsdtest/Particles',valid),
+ STResList95 = [STRes94|STResList94],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR002.xml','./msxsdtest/Particles',valid,S94),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes95,S95} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR003.xsd','./msxsdtest/Particles',valid),
+ STResList96 = [STRes95|STResList95],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR003.xml','./msxsdtest/Particles',valid,S95),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes96,S96} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR004.xsd','./msxsdtest/Particles',valid),
+ STResList97 = [STRes96|STResList96],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR004.xml','./msxsdtest/Particles',valid,S96),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes97,S97} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR005.xsd','./msxsdtest/Particles',valid),
+ STResList98 = [STRes97|STResList97],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR005.xml','./msxsdtest/Particles',valid,S97),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes98,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR006.xsd','./msxsdtest/Particles',invalid),
+ STResList99 = [STRes98|STResList98],
+
+
+ ?line {STRes99,S99} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR007.xsd','./msxsdtest/Particles',valid),
+ STResList100 = [STRes99|STResList99],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR007.xml','./msxsdtest/Particles',valid,S99),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes100,S100} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR008.xsd','./msxsdtest/Particles',valid),
+ STResList101 = [STRes100|STResList100],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR008.xml','./msxsdtest/Particles',valid,S100),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes101,S101} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR009.xsd','./msxsdtest/Particles',valid),
+ STResList102 = [STRes101|STResList101],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR009.xml','./msxsdtest/Particles',valid,S101),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR010.xsd','./msxsdtest/Particles',invalid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,S103} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR011.xsd','./msxsdtest/Particles',valid),
+ STResList104 = [STRes103|STResList103],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR011.xml','./msxsdtest/Particles',valid,S103),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes104,S104} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR012.xsd','./msxsdtest/Particles',valid),
+ STResList105 = [STRes104|STResList104],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR012.xml','./msxsdtest/Particles',valid,S104),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes105,S105} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR013.xsd','./msxsdtest/Particles',valid),
+ STResList106 = [STRes105|STResList105],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR013.xml','./msxsdtest/Particles',valid,S105),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR014.xsd','./msxsdtest/Particles',invalid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR015.xsd','./msxsdtest/Particles',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR015.xml','./msxsdtest/Particles',valid,S107),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes108,S108} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR016.xsd','./msxsdtest/Particles',valid),
+ STResList109 = [STRes108|STResList108],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR016.xml','./msxsdtest/Particles',valid,S108),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes109,S109} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR017.xsd','./msxsdtest/Particles',valid),
+ STResList110 = [STRes109|STResList109],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR017.xml','./msxsdtest/Particles',valid,S109),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes110,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR018.xsd','./msxsdtest/Particles',invalid),
+ STResList111 = [STRes110|STResList110],
+
+
+ ?line {STRes111,S111} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR019.xsd','./msxsdtest/Particles',valid),
+ STResList112 = [STRes111|STResList111],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR019.xml','./msxsdtest/Particles',valid,S111),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes112,S112} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR020.xsd','./msxsdtest/Particles',valid),
+ STResList113 = [STRes112|STResList112],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR020.xml','./msxsdtest/Particles',valid,S112),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes113,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR021.xsd','./msxsdtest/Particles',invalid),
+ STResList114 = [STRes113|STResList113],
+
+
+ ?line {STRes114,S114} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR022.xsd','./msxsdtest/Particles',valid),
+ STResList115 = [STRes114|STResList114],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR022.xml','./msxsdtest/Particles',valid,S114),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes115,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR023.xsd','./msxsdtest/Particles',invalid),
+ STResList116 = [STRes115|STResList115],
+
+
+ ?line {STRes116,S116} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR024.xsd','./msxsdtest/Particles',valid),
+ STResList117 = [STRes116|STResList116],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR024.xml','./msxsdtest/Particles',valid,S116),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes117,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR025.xsd','./msxsdtest/Particles',invalid),
+ STResList118 = [STRes117|STResList117],
+
+
+ ?line {STRes118,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR026.xsd','./msxsdtest/Particles',invalid),
+ STResList119 = [STRes118|STResList118],
+
+
+ ?line {STRes119,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR027.xsd','./msxsdtest/Particles',invalid),
+ STResList120 = [STRes119|STResList119],
+
+
+ ?line {STRes120,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR028.xsd','./msxsdtest/Particles',invalid),
+ STResList121 = [STRes120|STResList120],
+
+
+ ?line {STRes121,S121} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR029.xsd','./msxsdtest/Particles',valid),
+ STResList122 = [STRes121|STResList121],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR029.xml','./msxsdtest/Particles',valid,S121),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes122,S122} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR030.xsd','./msxsdtest/Particles',valid),
+ STResList123 = [STRes122|STResList122],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesR030.xml','./msxsdtest/Particles',valid,S122),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes123,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesR031.xsd','./msxsdtest/Particles',invalid),
+ STResList124 = [STRes123|STResList123],
+
+
+ ?line {STRes124,S124} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT001.xsd','./msxsdtest/Particles',valid),
+ STResList125 = [STRes124|STResList124],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT001.xml','./msxsdtest/Particles',valid,S124),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes125,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT002.xsd','./msxsdtest/Particles',invalid),
+ STResList126 = [STRes125|STResList125],
+
+
+ ?line {STRes126,S126} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT003.xsd','./msxsdtest/Particles',valid),
+ STResList127 = [STRes126|STResList126],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT003.xml','./msxsdtest/Particles',valid,S126),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes127,S127} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT004.xsd','./msxsdtest/Particles',valid),
+ STResList128 = [STRes127|STResList127],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT004.xml','./msxsdtest/Particles',valid,S127),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes128,S128} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT005.xsd','./msxsdtest/Particles',valid),
+ STResList129 = [STRes128|STResList128],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT005.xml','./msxsdtest/Particles',valid,S128),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes129,S129} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT006.xsd','./msxsdtest/Particles',valid),
+ STResList130 = [STRes129|STResList129],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT006.xml','./msxsdtest/Particles',valid,S129),
+ ITResList68 = [ITRes67|ITResList67],
+
+
+ ?line {STRes130,S130} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT007.xsd','./msxsdtest/Particles',valid),
+ STResList131 = [STRes130|STResList130],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT007.xml','./msxsdtest/Particles',valid,S130),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes131,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT008.xsd','./msxsdtest/Particles',invalid),
+ STResList132 = [STRes131|STResList131],
+
+
+ ?line {STRes132,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT009.xsd','./msxsdtest/Particles',invalid),
+ STResList133 = [STRes132|STResList132],
+
+
+ ?line {STRes133,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT010.xsd','./msxsdtest/Particles',invalid),
+ STResList134 = [STRes133|STResList133],
+
+
+ ?line {STRes134,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT011.xsd','./msxsdtest/Particles',invalid),
+ STResList135 = [STRes134|STResList134],
+
+
+ ?line {STRes135,S135} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT012.xsd','./msxsdtest/Particles',valid),
+ STResList136 = [STRes135|STResList135],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT012.xml','./msxsdtest/Particles',valid,S135),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes136,S136} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT013.xsd','./msxsdtest/Particles',valid),
+ STResList137 = [STRes136|STResList136],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT013.xml','./msxsdtest/Particles',valid,S136),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes137,S137} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesT014.xsd','./msxsdtest/Particles',valid),
+ STResList138 = [STRes137|STResList137],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesT014.xml','./msxsdtest/Particles',valid,S137),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes138,S138} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ001.xsd','./msxsdtest/Particles',valid),
+ STResList139 = [STRes138|STResList138],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ001.xml','./msxsdtest/Particles',valid,S138),
+ ITResList73 = [ITRes72|ITResList72],
+
+
+ ?line {STRes139,S139} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ002.xsd','./msxsdtest/Particles',valid),
+ STResList140 = [STRes139|STResList139],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ002.xml','./msxsdtest/Particles',valid,S139),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes140,S140} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ003.xsd','./msxsdtest/Particles',valid),
+ STResList141 = [STRes140|STResList140],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ003.xml','./msxsdtest/Particles',valid,S140),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes141,S141} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ004.xsd','./msxsdtest/Particles',valid),
+ STResList142 = [STRes141|STResList141],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ004.xml','./msxsdtest/Particles',valid,S141),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes142,S142} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ005.xsd','./msxsdtest/Particles',valid),
+ STResList143 = [STRes142|STResList142],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ005.xml','./msxsdtest/Particles',valid,S142),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes143,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ006.xsd','./msxsdtest/Particles',invalid),
+ STResList144 = [STRes143|STResList143],
+
+
+ ?line {STRes144,S144} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ007.xsd','./msxsdtest/Particles',valid),
+ STResList145 = [STRes144|STResList144],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ007.xml','./msxsdtest/Particles',valid,S144),
+ ITResList78 = [ITRes77|ITResList77],
+
+
+ ?line {STRes145,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ008.xsd','./msxsdtest/Particles',invalid),
+ STResList146 = [STRes145|STResList145],
+
+
+ ?line {STRes146,S146} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ011.xsd','./msxsdtest/Particles',valid),
+ STResList147 = [STRes146|STResList146],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ011.xml','./msxsdtest/Particles',valid,S146),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes147,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ012.xsd','./msxsdtest/Particles',invalid),
+ STResList148 = [STRes147|STResList147],
+
+
+ ?line {STRes148,S148} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ013.xsd','./msxsdtest/Particles',valid),
+ STResList149 = [STRes148|STResList148],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ013.xml','./msxsdtest/Particles',valid,S148),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes149,S149} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ016.xsd','./msxsdtest/Particles',valid),
+ STResList150 = [STRes149|STResList149],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ016.xml','./msxsdtest/Particles',valid,S149),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes150,S150} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ017.xsd','./msxsdtest/Particles',valid),
+ STResList151 = [STRes150|STResList150],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ017.xml','./msxsdtest/Particles',valid,S150),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes151,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ018.xsd','./msxsdtest/Particles',invalid),
+ STResList152 = [STRes151|STResList151],
+
+
+ ?line {STRes152,S152} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ019.xsd','./msxsdtest/Particles',valid),
+ STResList153 = [STRes152|STResList152],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ019.xml','./msxsdtest/Particles',valid,S152),
+ ITResList83 = [ITRes82|ITResList82],
+
+
+ ?line {STRes153,S153} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ020.xsd','./msxsdtest/Particles',valid),
+ STResList154 = [STRes153|STResList153],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ020.xml','./msxsdtest/Particles',valid,S153),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes154,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ021.xsd','./msxsdtest/Particles',invalid),
+ STResList155 = [STRes154|STResList154],
+
+
+ ?line {STRes155,S155} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ022.xsd','./msxsdtest/Particles',valid),
+ STResList156 = [STRes155|STResList155],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ022.xml','./msxsdtest/Particles',valid,S155),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes156,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ023.xsd','./msxsdtest/Particles',invalid),
+ STResList157 = [STRes156|STResList156],
+
+
+ ?line {STRes157,S157} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ024.xsd','./msxsdtest/Particles',valid),
+ STResList158 = [STRes157|STResList157],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ024.xml','./msxsdtest/Particles',valid,S157),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes158,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ025.xsd','./msxsdtest/Particles',invalid),
+ STResList159 = [STRes158|STResList158],
+
+
+ ?line {STRes159,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ026.xsd','./msxsdtest/Particles',invalid),
+ STResList160 = [STRes159|STResList159],
+
+
+ ?line {STRes160,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ027.xsd','./msxsdtest/Particles',invalid),
+ STResList161 = [STRes160|STResList160],
+
+
+ ?line {STRes161,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ028.xsd','./msxsdtest/Particles',invalid),
+ STResList162 = [STRes161|STResList161],
+
+
+ ?line {STRes162,S162} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ029.xsd','./msxsdtest/Particles',valid),
+ STResList163 = [STRes162|STResList162],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ029.xml','./msxsdtest/Particles',valid,S162),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes163,S163} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ030.xsd','./msxsdtest/Particles',valid),
+ STResList164 = [STRes163|STResList163],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesQ030.xml','./msxsdtest/Particles',valid,S163),
+ ITResList88 = [ITRes87|ITResList87],
+
+
+ ?line {STRes164,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesQ031.xsd','./msxsdtest/Particles',invalid),
+ STResList165 = [STRes164|STResList164],
+
+
+ ?line {STRes165,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU001.xsd','./msxsdtest/Particles',invalid),
+ STResList166 = [STRes165|STResList165],
+
+
+ ?line {STRes166,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU002.xsd','./msxsdtest/Particles',invalid),
+ STResList167 = [STRes166|STResList166],
+
+
+ ?line {STRes167,S167} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU003.xsd','./msxsdtest/Particles',valid),
+ STResList168 = [STRes167|STResList167],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesU003.xml','./msxsdtest/Particles',valid,S167),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes168,S168} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU004.xsd','./msxsdtest/Particles',valid),
+ STResList169 = [STRes168|STResList168],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesU004.xml','./msxsdtest/Particles',valid,S168),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes169,S169} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU005.xsd','./msxsdtest/Particles',valid),
+ STResList170 = [STRes169|STResList169],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesU005.xml','./msxsdtest/Particles',valid,S169),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes170,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU006.xsd','./msxsdtest/Particles',invalid),
+ STResList171 = [STRes170|STResList170],
+
+
+ ?line {STRes171,S171} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU007.xsd','./msxsdtest/Particles',valid),
+ STResList172 = [STRes171|STResList171],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesU007.xml','./msxsdtest/Particles',valid,S171),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes172,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU008.xsd','./msxsdtest/Particles',invalid),
+ STResList173 = [STRes172|STResList172],
+
+
+ ?line {STRes173,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesU009.xsd','./msxsdtest/Particles',invalid),
+ STResList174 = [STRes173|STResList173],
+
+
+ ?line {STRes174,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV002.xsd','./msxsdtest/Particles',invalid),
+ STResList175 = [STRes174|STResList174],
+
+
+ ?line {STRes175,S175} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV003.xsd','./msxsdtest/Particles',valid),
+ STResList176 = [STRes175|STResList175],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV003.xml','./msxsdtest/Particles',valid,S175),
+ ITResList93 = [ITRes92|ITResList92],
+
+
+ ?line {STRes176,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV005.xsd','./msxsdtest/Particles',invalid),
+ STResList177 = [STRes176|STResList176],
+
+
+ ?line {STRes177,S177} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV006.xsd','./msxsdtest/Particles',valid),
+ STResList178 = [STRes177|STResList177],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV006.xml','./msxsdtest/Particles',valid,S177),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes178,S178} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV007.xsd','./msxsdtest/Particles',valid),
+ STResList179 = [STRes178|STResList178],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV007.xml','./msxsdtest/Particles',valid,S178),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes179,S179} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV008.xsd','./msxsdtest/Particles',valid),
+ STResList180 = [STRes179|STResList179],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV008.xml','./msxsdtest/Particles',valid,S179),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes180,S180} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV009.xsd','./msxsdtest/Particles',valid),
+ STResList181 = [STRes180|STResList180],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV009.xml','./msxsdtest/Particles',valid,S180),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes181,S181} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV010.xsd','./msxsdtest/Particles',valid),
+ STResList182 = [STRes181|STResList181],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV010.xml','./msxsdtest/Particles',valid,S181),
+ ITResList98 = [ITRes97|ITResList97],
+
+
+ ?line {STRes182,S182} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV011.xsd','./msxsdtest/Particles',valid),
+ STResList183 = [STRes182|STResList182],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV011.xml','./msxsdtest/Particles',valid,S182),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes183,S183} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV012.xsd','./msxsdtest/Particles',valid),
+ STResList184 = [STRes183|STResList183],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV012.xml','./msxsdtest/Particles',valid,S183),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes184,S184} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV013.xsd','./msxsdtest/Particles',valid),
+ STResList185 = [STRes184|STResList184],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV013.xml','./msxsdtest/Particles',valid,S184),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes185,S185} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV014.xsd','./msxsdtest/Particles',valid),
+ STResList186 = [STRes185|STResList185],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV014.xml','./msxsdtest/Particles',valid,S185),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes186,S186} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV015.xsd','./msxsdtest/Particles',valid),
+ STResList187 = [STRes186|STResList186],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesV015.xml','./msxsdtest/Particles',valid,S186),
+ ITResList103 = [ITRes102|ITResList102],
+
+
+ ?line {STRes187,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV016.xsd','./msxsdtest/Particles',invalid),
+ STResList188 = [STRes187|STResList187],
+
+
+ ?line {STRes188,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV017.xsd','./msxsdtest/Particles',invalid),
+ STResList189 = [STRes188|STResList188],
+
+
+ ?line {STRes189,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV018.xsd','./msxsdtest/Particles',invalid),
+ STResList190 = [STRes189|STResList189],
+
+
+ ?line {STRes190,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesV020.xsd','./msxsdtest/Particles',invalid),
+ STResList191 = [STRes190|STResList190],
+
+
+ ?line {STRes191,S191} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW001.xsd','./msxsdtest/Particles',valid),
+ STResList192 = [STRes191|STResList191],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesW001.xml','./msxsdtest/Particles',valid,S191),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes192,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW002.xsd','./msxsdtest/Particles',invalid),
+ STResList193 = [STRes192|STResList192],
+
+
+ ?line {STRes193,S193} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW003.xsd','./msxsdtest/Particles',valid),
+ STResList194 = [STRes193|STResList193],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesW003.xml','./msxsdtest/Particles',valid,S193),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes194,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW005.xsd','./msxsdtest/Particles',invalid),
+ STResList195 = [STRes194|STResList194],
+
+
+ ?line {STRes195,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW006.xsd','./msxsdtest/Particles',valid),
+ STResList196 = [STRes195|STResList195],
+
+
+ ?line {STRes196,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW007.xsd','./msxsdtest/Particles',invalid),
+ STResList197 = [STRes196|STResList196],
+
+
+ ?line {STRes197,S197} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW008.xsd','./msxsdtest/Particles',valid),
+ STResList198 = [STRes197|STResList197],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesW008.xml','./msxsdtest/Particles',valid,S197),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes198,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW010.xsd','./msxsdtest/Particles',invalid),
+ STResList199 = [STRes198|STResList198],
+
+
+ ?line {STRes199,S199} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW011.xsd','./msxsdtest/Particles',valid),
+ STResList200 = [STRes199|STResList199],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesW011.xml','./msxsdtest/Particles',valid,S199),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes200,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW012.xsd','./msxsdtest/Particles',invalid),
+ STResList201 = [STRes200|STResList200],
+
+
+ ?line {STRes201,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW013.xsd','./msxsdtest/Particles',invalid),
+ STResList202 = [STRes201|STResList201],
+
+
+ ?line {STRes202,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW014.xsd','./msxsdtest/Particles',invalid),
+ STResList203 = [STRes202|STResList202],
+
+
+ ?line {STRes203,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW015.xsd','./msxsdtest/Particles',invalid),
+ STResList204 = [STRes203|STResList203],
+
+
+ ?line {STRes204,S204} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/Particles/particlesW016.xsd','./msxsdtest/Particles',valid),
+ STResList205 = [STRes204|STResList204],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/Particles/particlesW016.xml','./msxsdtest/Particles',valid,S204),
+ ITResList108 = [ITRes107|ITResList107],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList205,ITResList108).
+
+%% Syntax Checking for simpleType Declaration.
+
+stABCDE(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA001.xsd','./msxsdtest/simpleType',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA002.xsd','./msxsdtest/simpleType',invalid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA003.xsd','./msxsdtest/simpleType',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA004.xsd','./msxsdtest/simpleType',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA005.xsd','./msxsdtest/simpleType',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA006.xsd','./msxsdtest/simpleType',valid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA007.xsd','./msxsdtest/simpleType',valid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA008.xsd','./msxsdtest/simpleType',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA009.xsd','./msxsdtest/simpleType',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA010.xsd','./msxsdtest/simpleType',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA011.xsd','./msxsdtest/simpleType',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA012.xsd','./msxsdtest/simpleType',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA013.xsd','./msxsdtest/simpleType',invalid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA014.xsd','./msxsdtest/simpleType',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA015.xsd','./msxsdtest/simpleType',invalid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA016.xsd','./msxsdtest/simpleType',valid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA017.xsd','./msxsdtest/simpleType',invalid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA018.xsd','./msxsdtest/simpleType',valid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA019.xsd','./msxsdtest/simpleType',valid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stA020.xsd','./msxsdtest/simpleType',valid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB001.xsd','./msxsdtest/simpleType',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB002.xsd','./msxsdtest/simpleType',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB003.xsd','./msxsdtest/simpleType',valid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB004.xsd','./msxsdtest/simpleType',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB005.xsd','./msxsdtest/simpleType',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB006.xsd','./msxsdtest/simpleType',valid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB007.xsd','./msxsdtest/simpleType',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB008.xsd','./msxsdtest/simpleType',valid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB009.xsd','./msxsdtest/simpleType',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB010.xsd','./msxsdtest/simpleType',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB011.xsd','./msxsdtest/simpleType',valid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB012.xsd','./msxsdtest/simpleType',valid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB013.xsd','./msxsdtest/simpleType',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB014.xsd','./msxsdtest/simpleType',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB015.xsd','./msxsdtest/simpleType',valid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB016.xsd','./msxsdtest/simpleType',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB017.xsd','./msxsdtest/simpleType',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB018.xsd','./msxsdtest/simpleType',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB019.xsd','./msxsdtest/simpleType',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB020.xsd','./msxsdtest/simpleType',invalid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB021.xsd','./msxsdtest/simpleType',invalid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB022.xsd','./msxsdtest/simpleType',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB023.xsd','./msxsdtest/simpleType',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stB024.xsd','./msxsdtest/simpleType',invalid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC001.xsd','./msxsdtest/simpleType',valid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC002.xsd','./msxsdtest/simpleType',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC003.xsd','./msxsdtest/simpleType',valid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC004.xsd','./msxsdtest/simpleType',invalid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC005.xsd','./msxsdtest/simpleType',valid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC006.xsd','./msxsdtest/simpleType',invalid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC007.xsd','./msxsdtest/simpleType',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC008.xsd','./msxsdtest/simpleType',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC009.xsd','./msxsdtest/simpleType',invalid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC010.xsd','./msxsdtest/simpleType',valid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC011.xsd','./msxsdtest/simpleType',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC012.xsd','./msxsdtest/simpleType',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC013.xsd','./msxsdtest/simpleType',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC014.xsd','./msxsdtest/simpleType',valid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC015.xsd','./msxsdtest/simpleType',valid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC016.xsd','./msxsdtest/simpleType',valid),
+ STResList60 = [STRes59|STResList59],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC017.xsd','./msxsdtest/simpleType',valid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC018.xsd','./msxsdtest/simpleType',valid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC019.xsd','./msxsdtest/simpleType',valid),
+ STResList63 = [STRes62|STResList62],
+
+
+ ?line {STRes63,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC020.xsd','./msxsdtest/simpleType',valid),
+ STResList64 = [STRes63|STResList63],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC021.xsd','./msxsdtest/simpleType',valid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC022.xsd','./msxsdtest/simpleType',valid),
+ STResList66 = [STRes65|STResList65],
+
+
+ ?line {STRes66,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC023.xsd','./msxsdtest/simpleType',invalid),
+ STResList67 = [STRes66|STResList66],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC024.xsd','./msxsdtest/simpleType',valid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC025.xsd','./msxsdtest/simpleType',valid),
+ STResList69 = [STRes68|STResList68],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC026.xsd','./msxsdtest/simpleType',valid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC027.xsd','./msxsdtest/simpleType',invalid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC028.xsd','./msxsdtest/simpleType',invalid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC029.xsd','./msxsdtest/simpleType',invalid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC030.xsd','./msxsdtest/simpleType',valid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC031.xsd','./msxsdtest/simpleType',invalid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC032.xsd','./msxsdtest/simpleType',invalid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stC033.xsd','./msxsdtest/simpleType',valid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD001.xsd','./msxsdtest/simpleType',valid),
+ STResList78 = [STRes77|STResList77],
+
+
+ ?line {STRes78,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD002.xsd','./msxsdtest/simpleType',invalid),
+ STResList79 = [STRes78|STResList78],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD003.xsd','./msxsdtest/simpleType',invalid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD004.xsd','./msxsdtest/simpleType',invalid),
+ STResList81 = [STRes80|STResList80],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD005.xsd','./msxsdtest/simpleType',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD006.xsd','./msxsdtest/simpleType',valid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD007.xsd','./msxsdtest/simpleType',valid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD008.xsd','./msxsdtest/simpleType',valid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD009.xsd','./msxsdtest/simpleType',invalid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD010.xsd','./msxsdtest/simpleType',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ ?line {STRes87,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD011.xsd','./msxsdtest/simpleType',valid),
+ STResList88 = [STRes87|STResList87],
+
+
+ ?line {STRes88,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD012.xsd','./msxsdtest/simpleType',valid),
+ STResList89 = [STRes88|STResList88],
+
+
+ ?line {STRes89,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD013.xsd','./msxsdtest/simpleType',valid),
+ STResList90 = [STRes89|STResList89],
+
+
+ ?line {STRes90,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD014.xsd','./msxsdtest/simpleType',valid),
+ STResList91 = [STRes90|STResList90],
+
+
+ ?line {STRes91,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD015.xsd','./msxsdtest/simpleType',invalid),
+ STResList92 = [STRes91|STResList91],
+
+
+ ?line {STRes92,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD016.xsd','./msxsdtest/simpleType',invalid),
+ STResList93 = [STRes92|STResList92],
+
+
+ ?line {STRes93,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD017.xsd','./msxsdtest/simpleType',invalid),
+ STResList94 = [STRes93|STResList93],
+
+
+ ?line {STRes94,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD018.xsd','./msxsdtest/simpleType',invalid),
+ STResList95 = [STRes94|STResList94],
+
+
+ ?line {STRes95,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD019.xsd','./msxsdtest/simpleType',invalid),
+ STResList96 = [STRes95|STResList95],
+
+
+ ?line {STRes96,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD020.xsd','./msxsdtest/simpleType',valid),
+ STResList97 = [STRes96|STResList96],
+
+
+ ?line {STRes97,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stD021.xsd','./msxsdtest/simpleType',valid),
+ STResList98 = [STRes97|STResList97],
+
+
+ ?line {STRes98,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE001.xsd','./msxsdtest/simpleType',valid),
+ STResList99 = [STRes98|STResList98],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE002.xsd','./msxsdtest/simpleType',invalid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE003.xsd','./msxsdtest/simpleType',invalid),
+ STResList101 = [STRes100|STResList100],
+
+
+ ?line {STRes101,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE004.xsd','./msxsdtest/simpleType',invalid),
+ STResList102 = [STRes101|STResList101],
+
+
+ ?line {STRes102,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE005.xsd','./msxsdtest/simpleType',invalid),
+ STResList103 = [STRes102|STResList102],
+
+
+ ?line {STRes103,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE006.xsd','./msxsdtest/simpleType',valid),
+ STResList104 = [STRes103|STResList103],
+
+
+ ?line {STRes104,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE007.xsd','./msxsdtest/simpleType',valid),
+ STResList105 = [STRes104|STResList104],
+
+
+ ?line {STRes105,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE008.xsd','./msxsdtest/simpleType',invalid),
+ STResList106 = [STRes105|STResList105],
+
+
+ ?line {STRes106,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE009.xsd','./msxsdtest/simpleType',valid),
+ STResList107 = [STRes106|STResList106],
+
+
+ ?line {STRes107,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE010.xsd','./msxsdtest/simpleType',valid),
+ STResList108 = [STRes107|STResList107],
+
+
+ ?line {STRes108,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE011.xsd','./msxsdtest/simpleType',valid),
+ STResList109 = [STRes108|STResList108],
+
+
+ ?line {STRes109,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE012.xsd','./msxsdtest/simpleType',invalid),
+ STResList110 = [STRes109|STResList109],
+
+
+ ?line {STRes110,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE013.xsd','./msxsdtest/simpleType',valid),
+ STResList111 = [STRes110|STResList110],
+
+
+ ?line {STRes111,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE014.xsd','./msxsdtest/simpleType',valid),
+ STResList112 = [STRes111|STResList111],
+
+
+ ?line {STRes112,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE015.xsd','./msxsdtest/simpleType',invalid),
+ STResList113 = [STRes112|STResList112],
+
+
+ ?line {STRes113,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE016.xsd','./msxsdtest/simpleType',invalid),
+ STResList114 = [STRes113|STResList113],
+
+
+ ?line {STRes114,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE017.xsd','./msxsdtest/simpleType',valid),
+ STResList115 = [STRes114|STResList114],
+
+
+ ?line {STRes115,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stE018.xsd','./msxsdtest/simpleType',invalid),
+ STResList116 = [STRes115|STResList115],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList116,[]).
+
+%% simpleType Validation checking.
+
+stFGH(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF001.xsd','./msxsdtest/simpleType',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF002.xsd','./msxsdtest/simpleType',valid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF003.xsd','./msxsdtest/simpleType',valid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF004.xsd','./msxsdtest/simpleType',valid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF005.xsd','./msxsdtest/simpleType',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF006.xsd','./msxsdtest/simpleType',valid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF007.xsd','./msxsdtest/simpleType',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF008.xsd','./msxsdtest/simpleType',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF009.xsd','./msxsdtest/simpleType',invalid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF010.xsd','./msxsdtest/simpleType',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF011.xsd','./msxsdtest/simpleType',invalid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF012.xsd','./msxsdtest/simpleType',invalid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF013.xsd','./msxsdtest/simpleType',invalid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF014.xsd','./msxsdtest/simpleType',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF015.xsd','./msxsdtest/simpleType',invalid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF016.xsd','./msxsdtest/simpleType',valid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF017.xsd','./msxsdtest/simpleType',valid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF018.xsd','./msxsdtest/simpleType',invalid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF019.xsd','./msxsdtest/simpleType',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF020.xsd','./msxsdtest/simpleType',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF021.xsd','./msxsdtest/simpleType',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF022.xsd','./msxsdtest/simpleType',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF023.xsd','./msxsdtest/simpleType',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF024.xsd','./msxsdtest/simpleType',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF025.xsd','./msxsdtest/simpleType',invalid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF026.xsd','./msxsdtest/simpleType',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF027.xsd','./msxsdtest/simpleType',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF028.xsd','./msxsdtest/simpleType',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF029.xsd','./msxsdtest/simpleType',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF030.xsd','./msxsdtest/simpleType',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF031.xsd','./msxsdtest/simpleType',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF032.xsd','./msxsdtest/simpleType',valid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF033.xsd','./msxsdtest/simpleType',invalid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF034.xsd','./msxsdtest/simpleType',valid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF035.xsd','./msxsdtest/simpleType',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF036.xsd','./msxsdtest/simpleType',valid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stF037.xsd','./msxsdtest/simpleType',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG001.xsd','./msxsdtest/simpleType',valid),
+ STResList38 = [STRes37|STResList37],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG001.xml','./msxsdtest/simpleType',valid,S37),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG002.xsd','./msxsdtest/simpleType',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG002.xml','./msxsdtest/simpleType',valid,S38),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG003.xsd','./msxsdtest/simpleType',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG003.xml','./msxsdtest/simpleType',invalid,S39),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG004.xsd','./msxsdtest/simpleType',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG004.xml','./msxsdtest/simpleType',valid,S40),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG005.xsd','./msxsdtest/simpleType',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG005.xml','./msxsdtest/simpleType',invalid,S41),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG006.xsd','./msxsdtest/simpleType',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG006.xml','./msxsdtest/simpleType',valid,S42),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG007.xsd','./msxsdtest/simpleType',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG007.xml','./msxsdtest/simpleType',invalid,S43),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG008.xsd','./msxsdtest/simpleType',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG008.xml','./msxsdtest/simpleType',valid,S44),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes45,S45} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG009.xsd','./msxsdtest/simpleType',valid),
+ STResList46 = [STRes45|STResList45],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG009.xml','./msxsdtest/simpleType',invalid,S45),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG010.xsd','./msxsdtest/simpleType',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG010.xml','./msxsdtest/simpleType',valid,S46),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG011.xsd','./msxsdtest/simpleType',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG011.xml','./msxsdtest/simpleType',invalid,S47),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG012.xsd','./msxsdtest/simpleType',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG012.xml','./msxsdtest/simpleType',valid,S48),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes49,S49} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stG013.xsd','./msxsdtest/simpleType',valid),
+ STResList50 = [STRes49|STResList49],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stG013.xml','./msxsdtest/simpleType',invalid,S49),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes50,S50} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH001.xsd','./msxsdtest/simpleType',valid),
+ STResList51 = [STRes50|STResList50],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH001.xml','./msxsdtest/simpleType',valid,S50),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes51,S51} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH002.xsd','./msxsdtest/simpleType',valid),
+ STResList52 = [STRes51|STResList51],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH002.xml','./msxsdtest/simpleType',invalid,S51),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH003.xsd','./msxsdtest/simpleType',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH003.xml','./msxsdtest/simpleType',valid,S52),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes53,S53} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH004.xsd','./msxsdtest/simpleType',valid),
+ STResList54 = [STRes53|STResList53],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH004.xml','./msxsdtest/simpleType',invalid,S53),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes54,S54} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH005.xsd','./msxsdtest/simpleType',valid),
+ STResList55 = [STRes54|STResList54],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH005.xml','./msxsdtest/simpleType',valid,S54),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes55,S55} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH006.xsd','./msxsdtest/simpleType',valid),
+ STResList56 = [STRes55|STResList55],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH006.xml','./msxsdtest/simpleType',invalid,S55),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes56,S56} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH007.xsd','./msxsdtest/simpleType',valid),
+ STResList57 = [STRes56|STResList56],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH007.xml','./msxsdtest/simpleType',valid,S56),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes57,S57} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stH008.xsd','./msxsdtest/simpleType',valid),
+ STResList58 = [STRes57|STResList57],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stH008.xml','./msxsdtest/simpleType',invalid,S57),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList58,ITResList21).
+
+%% simpleType Schema Component Constraints.
+
+stIJK(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stI001.xsd','./msxsdtest/simpleType',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stI002.xsd','./msxsdtest/simpleType',valid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stI003.xsd','./msxsdtest/simpleType',valid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stI004.xsd','./msxsdtest/simpleType',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stI005.xsd','./msxsdtest/simpleType',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ001.xsd','./msxsdtest/simpleType',valid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ002.xsd','./msxsdtest/simpleType',valid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ003.xsd','./msxsdtest/simpleType',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ004.xsd','./msxsdtest/simpleType',valid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ005.xsd','./msxsdtest/simpleType',valid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ006.xsd','./msxsdtest/simpleType',valid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ007.xsd','./msxsdtest/simpleType',valid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ008.xsd','./msxsdtest/simpleType',valid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ009.xsd','./msxsdtest/simpleType',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ010.xsd','./msxsdtest/simpleType',invalid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ011.xsd','./msxsdtest/simpleType',invalid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ012.xsd','./msxsdtest/simpleType',invalid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ013.xsd','./msxsdtest/simpleType',invalid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ014.xsd','./msxsdtest/simpleType',invalid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ015.xsd','./msxsdtest/simpleType',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ016.xsd','./msxsdtest/simpleType',invalid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ017.xsd','./msxsdtest/simpleType',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ018.xsd','./msxsdtest/simpleType',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stJ019.xsd','./msxsdtest/simpleType',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK001.xsd','./msxsdtest/simpleType',valid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK002.xsd','./msxsdtest/simpleType',invalid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK003.xsd','./msxsdtest/simpleType',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK004.xsd','./msxsdtest/simpleType',valid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK005.xsd','./msxsdtest/simpleType',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK006.xsd','./msxsdtest/simpleType',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK007.xsd','./msxsdtest/simpleType',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK008.xsd','./msxsdtest/simpleType',valid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK009.xsd','./msxsdtest/simpleType',valid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK010.xsd','./msxsdtest/simpleType',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK011.xsd','./msxsdtest/simpleType',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK012.xsd','./msxsdtest/simpleType',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK013.xsd','./msxsdtest/simpleType',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK014.xsd','./msxsdtest/simpleType',invalid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK015.xsd','./msxsdtest/simpleType',invalid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK016.xsd','./msxsdtest/simpleType',invalid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK017.xsd','./msxsdtest/simpleType',invalid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK018.xsd','./msxsdtest/simpleType',invalid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stK019.xsd','./msxsdtest/simpleType',invalid),
+ STResList43 = [STRes42|STResList42],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList43,[]).
+
+%% Bug Regressions.
+
+stZ(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stZ001.xsd','./msxsdtest/simpleType',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stZ002.xsd','./msxsdtest/simpleType',invalid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stZ003.xsd','./msxsdtest/simpleType',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/simpleType/stZ004.xsd','./msxsdtest/simpleType',valid),
+ STResList4 = [STRes3|STResList3],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/simpleType/stZ004.xml','./msxsdtest/simpleType',valid,S3),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList4,ITResList1).
+
+%% Syntax Validation - any.
+
+wildABCDEF(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA001.xsd','./msxsdtest/wildCards',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA002.xsd','./msxsdtest/wildCards',valid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA003.xsd','./msxsdtest/wildCards',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA004.xsd','./msxsdtest/wildCards',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA005.xsd','./msxsdtest/wildCards',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA006.xsd','./msxsdtest/wildCards',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA007.xsd','./msxsdtest/wildCards',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildA008.xsd','./msxsdtest/wildCards',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB009.xsd','./msxsdtest/wildCards',valid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB010.xsd','./msxsdtest/wildCards',valid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB011.xsd','./msxsdtest/wildCards',valid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB012.xsd','./msxsdtest/wildCards',valid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB013.xsd','./msxsdtest/wildCards',valid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB014.xsd','./msxsdtest/wildCards',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB015.xsd','./msxsdtest/wildCards',invalid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB016.xsd','./msxsdtest/wildCards',invalid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB017.xsd','./msxsdtest/wildCards',valid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB018.xsd','./msxsdtest/wildCards',valid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB019.xsd','./msxsdtest/wildCards',valid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB020.xsd','./msxsdtest/wildCards',invalid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB021.xsd','./msxsdtest/wildCards',valid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB022.xsd','./msxsdtest/wildCards',invalid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB023.xsd','./msxsdtest/wildCards',invalid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB024.xsd','./msxsdtest/wildCards',invalid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB025.xsd','./msxsdtest/wildCards',valid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB026.xsd','./msxsdtest/wildCards',valid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB027.xsd','./msxsdtest/wildCards',invalid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB028.xsd','./msxsdtest/wildCards',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildB029.xsd','./msxsdtest/wildCards',valid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC030.xsd','./msxsdtest/wildCards',valid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC031.xsd','./msxsdtest/wildCards',valid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC032.xsd','./msxsdtest/wildCards',valid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC033.xsd','./msxsdtest/wildCards',valid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC034.xsd','./msxsdtest/wildCards',valid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC035.xsd','./msxsdtest/wildCards',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC036.xsd','./msxsdtest/wildCards',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC037.xsd','./msxsdtest/wildCards',valid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC038.xsd','./msxsdtest/wildCards',valid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC039.xsd','./msxsdtest/wildCards',valid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC040.xsd','./msxsdtest/wildCards',valid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC041.xsd','./msxsdtest/wildCards',valid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC042.xsd','./msxsdtest/wildCards',valid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC043.xsd','./msxsdtest/wildCards',valid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC044.xsd','./msxsdtest/wildCards',valid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC045.xsd','./msxsdtest/wildCards',valid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC046.xsd','./msxsdtest/wildCards',valid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC047.xsd','./msxsdtest/wildCards',valid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC048.xsd','./msxsdtest/wildCards',valid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC049.xsd','./msxsdtest/wildCards',invalid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC050.xsd','./msxsdtest/wildCards',invalid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC051.xsd','./msxsdtest/wildCards',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC052.xsd','./msxsdtest/wildCards',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC053.xsd','./msxsdtest/wildCards',invalid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC054.xsd','./msxsdtest/wildCards',valid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC055.xsd','./msxsdtest/wildCards',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC056.xsd','./msxsdtest/wildCards',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC057.xsd','./msxsdtest/wildCards',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC058.xsd','./msxsdtest/wildCards',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC059.xsd','./msxsdtest/wildCards',valid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC060.xsd','./msxsdtest/wildCards',valid),
+ STResList60 = [STRes59|STResList59],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC061.xsd','./msxsdtest/wildCards',valid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC062.xsd','./msxsdtest/wildCards',valid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC063.xsd','./msxsdtest/wildCards',valid),
+ STResList63 = [STRes62|STResList62],
+
+
+ ?line {STRes63,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC064.xsd','./msxsdtest/wildCards',valid),
+ STResList64 = [STRes63|STResList63],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC065.xsd','./msxsdtest/wildCards',valid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC066.xsd','./msxsdtest/wildCards',invalid),
+ STResList66 = [STRes65|STResList65],
+
+
+ ?line {STRes66,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC067.xsd','./msxsdtest/wildCards',invalid),
+ STResList67 = [STRes66|STResList66],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC068.xsd','./msxsdtest/wildCards',valid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC069.xsd','./msxsdtest/wildCards',valid),
+ STResList69 = [STRes68|STResList68],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildC070.xsd','./msxsdtest/wildCards',valid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD071.xsd','./msxsdtest/wildCards',invalid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD072.xsd','./msxsdtest/wildCards',valid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD073.xsd','./msxsdtest/wildCards',valid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD074.xsd','./msxsdtest/wildCards',valid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD075.xsd','./msxsdtest/wildCards',invalid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD076.xsd','./msxsdtest/wildCards',invalid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD077.xsd','./msxsdtest/wildCards',invalid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD078.xsd','./msxsdtest/wildCards',invalid),
+ STResList78 = [STRes77|STResList77],
+
+
+ ?line {STRes78,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildD079.xsd','./msxsdtest/wildCards',invalid),
+ STResList79 = [STRes78|STResList78],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildE001.xsd','./msxsdtest/wildCards',valid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildE002.xsd','./msxsdtest/wildCards',invalid),
+ STResList81 = [STRes80|STResList80],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF001.xsd','./msxsdtest/wildCards',valid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF002.xsd','./msxsdtest/wildCards',valid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF003.xsd','./msxsdtest/wildCards',valid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF004.xsd','./msxsdtest/wildCards',valid),
+ STResList85 = [STRes84|STResList84],
+
+
+ ?line {STRes85,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF005.xsd','./msxsdtest/wildCards',valid),
+ STResList86 = [STRes85|STResList85],
+
+
+ ?line {STRes86,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF006.xsd','./msxsdtest/wildCards',invalid),
+ STResList87 = [STRes86|STResList86],
+
+
+ ?line {STRes87,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF007.xsd','./msxsdtest/wildCards',invalid),
+ STResList88 = [STRes87|STResList87],
+
+
+ ?line {STRes88,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF008.xsd','./msxsdtest/wildCards',invalid),
+ STResList89 = [STRes88|STResList88],
+
+
+ ?line {STRes89,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF009.xsd','./msxsdtest/wildCards',invalid),
+ STResList90 = [STRes89|STResList89],
+
+
+ ?line {STRes90,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF010.xsd','./msxsdtest/wildCards',invalid),
+ STResList91 = [STRes90|STResList90],
+
+
+ ?line {STRes91,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF011.xsd','./msxsdtest/wildCards',valid),
+ STResList92 = [STRes91|STResList91],
+
+
+ ?line {STRes92,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF012.xsd','./msxsdtest/wildCards',invalid),
+ STResList93 = [STRes92|STResList92],
+
+
+ ?line {STRes93,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF013.xsd','./msxsdtest/wildCards',invalid),
+ STResList94 = [STRes93|STResList93],
+
+
+ ?line {STRes94,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF014.xsd','./msxsdtest/wildCards',invalid),
+ STResList95 = [STRes94|STResList94],
+
+
+ ?line {STRes95,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF015.xsd','./msxsdtest/wildCards',invalid),
+ STResList96 = [STRes95|STResList95],
+
+
+ ?line {STRes96,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF016.xsd','./msxsdtest/wildCards',invalid),
+ STResList97 = [STRes96|STResList96],
+
+
+ ?line {STRes97,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF017.xsd','./msxsdtest/wildCards',valid),
+ STResList98 = [STRes97|STResList97],
+
+
+ ?line {STRes98,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF018.xsd','./msxsdtest/wildCards',invalid),
+ STResList99 = [STRes98|STResList98],
+
+
+ ?line {STRes99,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF019.xsd','./msxsdtest/wildCards',valid),
+ STResList100 = [STRes99|STResList99],
+
+
+ ?line {STRes100,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildF020.xsd','./msxsdtest/wildCards',valid),
+ STResList101 = [STRes100|STResList100],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList101,[]).
+
+%% 3.10.4 Wildcard Validation Rules - any.
+
+wildGHI(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG001.xsd','./msxsdtest/wildCards',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG001.xml','./msxsdtest/wildCards',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG002.xsd','./msxsdtest/wildCards',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG002.xml','./msxsdtest/wildCards',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG003.xsd','./msxsdtest/wildCards',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG003.xml','./msxsdtest/wildCards',invalid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG004.xsd','./msxsdtest/wildCards',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG004.xml','./msxsdtest/wildCards',valid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG005.xsd','./msxsdtest/wildCards',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG005.xml','./msxsdtest/wildCards',invalid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG006.xsd','./msxsdtest/wildCards',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG006.xml','./msxsdtest/wildCards',valid,S5),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG007.xsd','./msxsdtest/wildCards',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG007.xml','./msxsdtest/wildCards',valid,S6),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG008.xsd','./msxsdtest/wildCards',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG008.xml','./msxsdtest/wildCards',invalid,S7),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG009.xsd','./msxsdtest/wildCards',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG009.xml','./msxsdtest/wildCards',invalid,S8),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG010.xsd','./msxsdtest/wildCards',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG010.xml','./msxsdtest/wildCards',valid,S9),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG011.xsd','./msxsdtest/wildCards',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG011.xml','./msxsdtest/wildCards',invalid,S10),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG012.xsd','./msxsdtest/wildCards',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG012.xml','./msxsdtest/wildCards',valid,S11),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG013.xsd','./msxsdtest/wildCards',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG013.xml','./msxsdtest/wildCards',valid,S12),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG014.xsd','./msxsdtest/wildCards',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG014.xml','./msxsdtest/wildCards',invalid,S13),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG015.xsd','./msxsdtest/wildCards',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG015.xml','./msxsdtest/wildCards',valid,S14),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG016.xsd','./msxsdtest/wildCards',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG016.xml','./msxsdtest/wildCards',valid,S15),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG017.xsd','./msxsdtest/wildCards',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG017.xml','./msxsdtest/wildCards',invalid,S16),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG018.xsd','./msxsdtest/wildCards',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG018.xml','./msxsdtest/wildCards',valid,S17),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG019.xsd','./msxsdtest/wildCards',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG019.xml','./msxsdtest/wildCards',invalid,S18),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG020.xsd','./msxsdtest/wildCards',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG020.xml','./msxsdtest/wildCards',invalid,S19),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG021.xsd','./msxsdtest/wildCards',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG021.xml','./msxsdtest/wildCards',valid,S20),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG022.xsd','./msxsdtest/wildCards',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG022.xml','./msxsdtest/wildCards',invalid,S21),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG023.xsd','./msxsdtest/wildCards',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG023.xml','./msxsdtest/wildCards',valid,S22),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG024.xsd','./msxsdtest/wildCards',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG024.xml','./msxsdtest/wildCards',invalid,S23),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG025.xsd','./msxsdtest/wildCards',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG025.xml','./msxsdtest/wildCards',invalid,S24),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG026.xsd','./msxsdtest/wildCards',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG026.xml','./msxsdtest/wildCards',valid,S25),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG027.xsd','./msxsdtest/wildCards',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG027.xml','./msxsdtest/wildCards',valid,S26),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG028.xsd','./msxsdtest/wildCards',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG028.xml','./msxsdtest/wildCards',invalid,S27),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG029.xsd','./msxsdtest/wildCards',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG029.xml','./msxsdtest/wildCards',invalid,S28),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG030.xsd','./msxsdtest/wildCards',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG030.xml','./msxsdtest/wildCards',invalid,S29),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG031.xsd','./msxsdtest/wildCards',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG031.xml','./msxsdtest/wildCards',valid,S30),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG032.xsd','./msxsdtest/wildCards',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG032.xml','./msxsdtest/wildCards',invalid,S31),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG033.xsd','./msxsdtest/wildCards',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG033.xml','./msxsdtest/wildCards',valid,S32),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG034.xsd','./msxsdtest/wildCards',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG034.xml','./msxsdtest/wildCards',invalid,S33),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG035.xsd','./msxsdtest/wildCards',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG035.xml','./msxsdtest/wildCards',valid,S34),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG036.xsd','./msxsdtest/wildCards',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG036.xml','./msxsdtest/wildCards',invalid,S35),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG037.xsd','./msxsdtest/wildCards',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG037.xml','./msxsdtest/wildCards',valid,S36),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG038.xsd','./msxsdtest/wildCards',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG038.xml','./msxsdtest/wildCards',valid,S37),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG039.xsd','./msxsdtest/wildCards',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG039.xml','./msxsdtest/wildCards',invalid,S38),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildG040.xsd','./msxsdtest/wildCards',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildG040.xml','./msxsdtest/wildCards',valid,S39),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH001.xsd','./msxsdtest/wildCards',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH001.xml','./msxsdtest/wildCards',invalid,S40),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes41,S41} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH002.xsd','./msxsdtest/wildCards',valid),
+ STResList42 = [STRes41|STResList41],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH002.xml','./msxsdtest/wildCards',invalid,S41),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes42,S42} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH003.xsd','./msxsdtest/wildCards',valid),
+ STResList43 = [STRes42|STResList42],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH003.xml','./msxsdtest/wildCards',valid,S42),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes43,S43} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH004.xsd','./msxsdtest/wildCards',valid),
+ STResList44 = [STRes43|STResList43],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH004.xml','./msxsdtest/wildCards',valid,S43),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes44,S44} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH006.xsd','./msxsdtest/wildCards',valid),
+ STResList45 = [STRes44|STResList44],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH006.xml','./msxsdtest/wildCards',invalid,S44),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes45,S45} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH007.xsd','./msxsdtest/wildCards',valid),
+ STResList46 = [STRes45|STResList45],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH007.xml','./msxsdtest/wildCards',valid,S45),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes46,S46} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH008.xsd','./msxsdtest/wildCards',valid),
+ STResList47 = [STRes46|STResList46],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH008.xml','./msxsdtest/wildCards',invalid,S46),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes47,S47} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH009.xsd','./msxsdtest/wildCards',valid),
+ STResList48 = [STRes47|STResList47],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH009.xml','./msxsdtest/wildCards',valid,S47),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes48,S48} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildH010.xsd','./msxsdtest/wildCards',valid),
+ STResList49 = [STRes48|STResList48],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildH010.xml','./msxsdtest/wildCards',valid,S48),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI001.xsd','./msxsdtest/wildCards',valid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI002.xsd','./msxsdtest/wildCards',invalid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI003.xsd','./msxsdtest/wildCards',invalid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,S52} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI004.xsd','./msxsdtest/wildCards',valid),
+ STResList53 = [STRes52|STResList52],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildI004.xml','./msxsdtest/wildCards',valid,S52),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes53,S53} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI005.xsd','./msxsdtest/wildCards',valid),
+ STResList54 = [STRes53|STResList53],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildI005.xml','./msxsdtest/wildCards',valid,S53),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes54,S54} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI006.xsd','./msxsdtest/wildCards',valid),
+ STResList55 = [STRes54|STResList54],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildI006.xml','./msxsdtest/wildCards',valid,S54),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes55,S55} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI007.xsd','./msxsdtest/wildCards',valid),
+ STResList56 = [STRes55|STResList55],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildI007.xml','./msxsdtest/wildCards',valid,S55),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI008.xsd','./msxsdtest/wildCards',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI009.xsd','./msxsdtest/wildCards',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI010.xsd','./msxsdtest/wildCards',invalid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,S59} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI011.xsd','./msxsdtest/wildCards',valid),
+ STResList60 = [STRes59|STResList59],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildI011.xml','./msxsdtest/wildCards',valid,S59),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes60,S60} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI012.xsd','./msxsdtest/wildCards',valid),
+ STResList61 = [STRes60|STResList60],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildI012.xml','./msxsdtest/wildCards',valid,S60),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildI013.xsd','./msxsdtest/wildCards',invalid),
+ STResList62 = [STRes61|STResList61],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList62,ITResList55).
+
+%% Syntax Validation - anyAttribute.
+
+wildJKLMNQOP(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ001.xsd','./msxsdtest/wildCards',valid),
+ STResList1 = [STRes0|STResList0],
+
+
+ ?line {STRes1,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ002.xsd','./msxsdtest/wildCards',valid),
+ STResList2 = [STRes1|STResList1],
+
+
+ ?line {STRes2,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ003.xsd','./msxsdtest/wildCards',invalid),
+ STResList3 = [STRes2|STResList2],
+
+
+ ?line {STRes3,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ004.xsd','./msxsdtest/wildCards',invalid),
+ STResList4 = [STRes3|STResList3],
+
+
+ ?line {STRes4,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ005.xsd','./msxsdtest/wildCards',invalid),
+ STResList5 = [STRes4|STResList4],
+
+
+ ?line {STRes5,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ006.xsd','./msxsdtest/wildCards',invalid),
+ STResList6 = [STRes5|STResList5],
+
+
+ ?line {STRes6,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ007.xsd','./msxsdtest/wildCards',invalid),
+ STResList7 = [STRes6|STResList6],
+
+
+ ?line {STRes7,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildJ008.xsd','./msxsdtest/wildCards',invalid),
+ STResList8 = [STRes7|STResList7],
+
+
+ ?line {STRes8,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK001.xsd','./msxsdtest/wildCards',valid),
+ STResList9 = [STRes8|STResList8],
+
+
+ ?line {STRes9,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK002.xsd','./msxsdtest/wildCards',invalid),
+ STResList10 = [STRes9|STResList9],
+
+
+ ?line {STRes10,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK003.xsd','./msxsdtest/wildCards',valid),
+ STResList11 = [STRes10|STResList10],
+
+
+ ?line {STRes11,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK004.xsd','./msxsdtest/wildCards',valid),
+ STResList12 = [STRes11|STResList11],
+
+
+ ?line {STRes12,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK005.xsd','./msxsdtest/wildCards',valid),
+ STResList13 = [STRes12|STResList12],
+
+
+ ?line {STRes13,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK006.xsd','./msxsdtest/wildCards',invalid),
+ STResList14 = [STRes13|STResList13],
+
+
+ ?line {STRes14,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK007.xsd','./msxsdtest/wildCards',invalid),
+ STResList15 = [STRes14|STResList14],
+
+
+ ?line {STRes15,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK008.xsd','./msxsdtest/wildCards',valid),
+ STResList16 = [STRes15|STResList15],
+
+
+ ?line {STRes16,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK009.xsd','./msxsdtest/wildCards',valid),
+ STResList17 = [STRes16|STResList16],
+
+
+ ?line {STRes17,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK010.xsd','./msxsdtest/wildCards',valid),
+ STResList18 = [STRes17|STResList17],
+
+
+ ?line {STRes18,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK011.xsd','./msxsdtest/wildCards',valid),
+ STResList19 = [STRes18|STResList18],
+
+
+ ?line {STRes19,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK012.xsd','./msxsdtest/wildCards',valid),
+ STResList20 = [STRes19|STResList19],
+
+
+ ?line {STRes20,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK013.xsd','./msxsdtest/wildCards',valid),
+ STResList21 = [STRes20|STResList20],
+
+
+ ?line {STRes21,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK014.xsd','./msxsdtest/wildCards',valid),
+ STResList22 = [STRes21|STResList21],
+
+
+ ?line {STRes22,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK015.xsd','./msxsdtest/wildCards',valid),
+ STResList23 = [STRes22|STResList22],
+
+
+ ?line {STRes23,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK016.xsd','./msxsdtest/wildCards',valid),
+ STResList24 = [STRes23|STResList23],
+
+
+ ?line {STRes24,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK017.xsd','./msxsdtest/wildCards',valid),
+ STResList25 = [STRes24|STResList24],
+
+
+ ?line {STRes25,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK018.xsd','./msxsdtest/wildCards',valid),
+ STResList26 = [STRes25|STResList25],
+
+
+ ?line {STRes26,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK019.xsd','./msxsdtest/wildCards',valid),
+ STResList27 = [STRes26|STResList26],
+
+
+ ?line {STRes27,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK020.xsd','./msxsdtest/wildCards',invalid),
+ STResList28 = [STRes27|STResList27],
+
+
+ ?line {STRes28,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK021.xsd','./msxsdtest/wildCards',invalid),
+ STResList29 = [STRes28|STResList28],
+
+
+ ?line {STRes29,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK022.xsd','./msxsdtest/wildCards',invalid),
+ STResList30 = [STRes29|STResList29],
+
+
+ ?line {STRes30,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK023.xsd','./msxsdtest/wildCards',invalid),
+ STResList31 = [STRes30|STResList30],
+
+
+ ?line {STRes31,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK024.xsd','./msxsdtest/wildCards',invalid),
+ STResList32 = [STRes31|STResList31],
+
+
+ ?line {STRes32,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK025.xsd','./msxsdtest/wildCards',valid),
+ STResList33 = [STRes32|STResList32],
+
+
+ ?line {STRes33,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK026.xsd','./msxsdtest/wildCards',invalid),
+ STResList34 = [STRes33|STResList33],
+
+
+ ?line {STRes34,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK027.xsd','./msxsdtest/wildCards',invalid),
+ STResList35 = [STRes34|STResList34],
+
+
+ ?line {STRes35,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK028.xsd','./msxsdtest/wildCards',invalid),
+ STResList36 = [STRes35|STResList35],
+
+
+ ?line {STRes36,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK029.xsd','./msxsdtest/wildCards',invalid),
+ STResList37 = [STRes36|STResList36],
+
+
+ ?line {STRes37,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK030.xsd','./msxsdtest/wildCards',valid),
+ STResList38 = [STRes37|STResList37],
+
+
+ ?line {STRes38,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK031.xsd','./msxsdtest/wildCards',valid),
+ STResList39 = [STRes38|STResList38],
+
+
+ ?line {STRes39,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK032.xsd','./msxsdtest/wildCards',valid),
+ STResList40 = [STRes39|STResList39],
+
+
+ ?line {STRes40,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK033.xsd','./msxsdtest/wildCards',valid),
+ STResList41 = [STRes40|STResList40],
+
+
+ ?line {STRes41,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK034.xsd','./msxsdtest/wildCards',valid),
+ STResList42 = [STRes41|STResList41],
+
+
+ ?line {STRes42,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK035.xsd','./msxsdtest/wildCards',valid),
+ STResList43 = [STRes42|STResList42],
+
+
+ ?line {STRes43,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK036.xsd','./msxsdtest/wildCards',valid),
+ STResList44 = [STRes43|STResList43],
+
+
+ ?line {STRes44,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK037.xsd','./msxsdtest/wildCards',valid),
+ STResList45 = [STRes44|STResList44],
+
+
+ ?line {STRes45,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK038.xsd','./msxsdtest/wildCards',invalid),
+ STResList46 = [STRes45|STResList45],
+
+
+ ?line {STRes46,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK039.xsd','./msxsdtest/wildCards',valid),
+ STResList47 = [STRes46|STResList46],
+
+
+ ?line {STRes47,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK040.xsd','./msxsdtest/wildCards',valid),
+ STResList48 = [STRes47|STResList47],
+
+
+ ?line {STRes48,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildK041.xsd','./msxsdtest/wildCards',valid),
+ STResList49 = [STRes48|STResList48],
+
+
+ ?line {STRes49,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL001.xsd','./msxsdtest/wildCards',invalid),
+ STResList50 = [STRes49|STResList49],
+
+
+ ?line {STRes50,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL002.xsd','./msxsdtest/wildCards',valid),
+ STResList51 = [STRes50|STResList50],
+
+
+ ?line {STRes51,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL003.xsd','./msxsdtest/wildCards',valid),
+ STResList52 = [STRes51|STResList51],
+
+
+ ?line {STRes52,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL004.xsd','./msxsdtest/wildCards',valid),
+ STResList53 = [STRes52|STResList52],
+
+
+ ?line {STRes53,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL005.xsd','./msxsdtest/wildCards',invalid),
+ STResList54 = [STRes53|STResList53],
+
+
+ ?line {STRes54,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL006.xsd','./msxsdtest/wildCards',invalid),
+ STResList55 = [STRes54|STResList54],
+
+
+ ?line {STRes55,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL007.xsd','./msxsdtest/wildCards',invalid),
+ STResList56 = [STRes55|STResList55],
+
+
+ ?line {STRes56,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL008.xsd','./msxsdtest/wildCards',invalid),
+ STResList57 = [STRes56|STResList56],
+
+
+ ?line {STRes57,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildL009.xsd','./msxsdtest/wildCards',invalid),
+ STResList58 = [STRes57|STResList57],
+
+
+ ?line {STRes58,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildM001.xsd','./msxsdtest/wildCards',valid),
+ STResList59 = [STRes58|STResList58],
+
+
+ ?line {STRes59,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildM002.xsd','./msxsdtest/wildCards',invalid),
+ STResList60 = [STRes59|STResList59],
+
+
+ ?line {STRes60,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN001.xsd','./msxsdtest/wildCards',invalid),
+ STResList61 = [STRes60|STResList60],
+
+
+ ?line {STRes61,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN002.xsd','./msxsdtest/wildCards',valid),
+ STResList62 = [STRes61|STResList61],
+
+
+ ?line {STRes62,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN003.xsd','./msxsdtest/wildCards',valid),
+ STResList63 = [STRes62|STResList62],
+
+
+ ?line {STRes63,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN004.xsd','./msxsdtest/wildCards',valid),
+ STResList64 = [STRes63|STResList63],
+
+
+ ?line {STRes64,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN005.xsd','./msxsdtest/wildCards',valid),
+ STResList65 = [STRes64|STResList64],
+
+
+ ?line {STRes65,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN006.xsd','./msxsdtest/wildCards',invalid),
+ STResList66 = [STRes65|STResList65],
+
+
+ ?line {STRes66,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN007.xsd','./msxsdtest/wildCards',invalid),
+ STResList67 = [STRes66|STResList66],
+
+
+ ?line {STRes67,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN008.xsd','./msxsdtest/wildCards',invalid),
+ STResList68 = [STRes67|STResList67],
+
+
+ ?line {STRes68,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN009.xsd','./msxsdtest/wildCards',invalid),
+ STResList69 = [STRes68|STResList68],
+
+
+ ?line {STRes69,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN010.xsd','./msxsdtest/wildCards',invalid),
+ STResList70 = [STRes69|STResList69],
+
+
+ ?line {STRes70,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN011.xsd','./msxsdtest/wildCards',valid),
+ STResList71 = [STRes70|STResList70],
+
+
+ ?line {STRes71,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN012.xsd','./msxsdtest/wildCards',invalid),
+ STResList72 = [STRes71|STResList71],
+
+
+ ?line {STRes72,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN013.xsd','./msxsdtest/wildCards',invalid),
+ STResList73 = [STRes72|STResList72],
+
+
+ ?line {STRes73,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN014.xsd','./msxsdtest/wildCards',invalid),
+ STResList74 = [STRes73|STResList73],
+
+
+ ?line {STRes74,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN015.xsd','./msxsdtest/wildCards',invalid),
+ STResList75 = [STRes74|STResList74],
+
+
+ ?line {STRes75,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN016.xsd','./msxsdtest/wildCards',invalid),
+ STResList76 = [STRes75|STResList75],
+
+
+ ?line {STRes76,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN017.xsd','./msxsdtest/wildCards',valid),
+ STResList77 = [STRes76|STResList76],
+
+
+ ?line {STRes77,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN018.xsd','./msxsdtest/wildCards',invalid),
+ STResList78 = [STRes77|STResList77],
+
+
+ ?line {STRes78,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN019.xsd','./msxsdtest/wildCards',valid),
+ STResList79 = [STRes78|STResList78],
+
+
+ ?line {STRes79,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildN020.xsd','./msxsdtest/wildCards',valid),
+ STResList80 = [STRes79|STResList79],
+
+
+ ?line {STRes80,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildQ001.xsd','./msxsdtest/wildCards',invalid),
+ STResList81 = [STRes80|STResList80],
+
+
+ ?line {STRes81,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildQ002.xsd','./msxsdtest/wildCards',invalid),
+ STResList82 = [STRes81|STResList81],
+
+
+ ?line {STRes82,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildQ003.xsd','./msxsdtest/wildCards',invalid),
+ STResList83 = [STRes82|STResList82],
+
+
+ ?line {STRes83,_} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildQ004.xsd','./msxsdtest/wildCards',invalid),
+ STResList84 = [STRes83|STResList83],
+
+
+ ?line {STRes84,S84} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO001.xsd','./msxsdtest/wildCards',valid),
+ STResList85 = [STRes84|STResList84],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO001.xml','./msxsdtest/wildCards',valid,S84),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes85,S85} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO002.xsd','./msxsdtest/wildCards',valid),
+ STResList86 = [STRes85|STResList85],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO002.xml','./msxsdtest/wildCards',valid,S85),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes86,S86} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO003.xsd','./msxsdtest/wildCards',valid),
+ STResList87 = [STRes86|STResList86],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO003.xml','./msxsdtest/wildCards',invalid,S86),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes87,S87} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO004.xsd','./msxsdtest/wildCards',valid),
+ STResList88 = [STRes87|STResList87],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO004.xml','./msxsdtest/wildCards',valid,S87),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes88,S88} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO005.xsd','./msxsdtest/wildCards',valid),
+ STResList89 = [STRes88|STResList88],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO005.xml','./msxsdtest/wildCards',valid,S88),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes89,S89} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO006.xsd','./msxsdtest/wildCards',valid),
+ STResList90 = [STRes89|STResList89],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO006.xml','./msxsdtest/wildCards',invalid,S89),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes90,S90} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO007.xsd','./msxsdtest/wildCards',valid),
+ STResList91 = [STRes90|STResList90],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO007.xml','./msxsdtest/wildCards',valid,S90),
+ ITResList7 = [ITRes6|ITResList6],
+
+
+ ?line {STRes91,S91} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO008.xsd','./msxsdtest/wildCards',valid),
+ STResList92 = [STRes91|STResList91],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO008.xml','./msxsdtest/wildCards',invalid,S91),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ ?line {STRes92,S92} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO009.xsd','./msxsdtest/wildCards',valid),
+ STResList93 = [STRes92|STResList92],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO009.xml','./msxsdtest/wildCards',invalid,S92),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes93,S93} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO010.xsd','./msxsdtest/wildCards',valid),
+ STResList94 = [STRes93|STResList93],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO010.xml','./msxsdtest/wildCards',valid,S93),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes94,S94} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO011.xsd','./msxsdtest/wildCards',valid),
+ STResList95 = [STRes94|STResList94],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO011.xml','./msxsdtest/wildCards',invalid,S94),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes95,S95} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO012.xsd','./msxsdtest/wildCards',valid),
+ STResList96 = [STRes95|STResList95],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO012.xml','./msxsdtest/wildCards',valid,S95),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ ?line {STRes96,S96} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO013.xsd','./msxsdtest/wildCards',valid),
+ STResList97 = [STRes96|STResList96],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO013.xml','./msxsdtest/wildCards',valid,S96),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes97,S97} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO014.xsd','./msxsdtest/wildCards',valid),
+ STResList98 = [STRes97|STResList97],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO014.xml','./msxsdtest/wildCards',invalid,S97),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ ?line {STRes98,S98} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO015.xsd','./msxsdtest/wildCards',valid),
+ STResList99 = [STRes98|STResList98],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO015.xml','./msxsdtest/wildCards',valid,S98),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes99,S99} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO016.xsd','./msxsdtest/wildCards',valid),
+ STResList100 = [STRes99|STResList99],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO016.xml','./msxsdtest/wildCards',valid,S99),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes100,S100} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO017.xsd','./msxsdtest/wildCards',valid),
+ STResList101 = [STRes100|STResList100],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO017.xml','./msxsdtest/wildCards',invalid,S100),
+ ITResList17 = [ITRes16|ITResList16],
+
+
+ ?line {STRes101,S101} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO018.xsd','./msxsdtest/wildCards',valid),
+ STResList102 = [STRes101|STResList101],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO018.xml','./msxsdtest/wildCards',valid,S101),
+ ITResList18 = [ITRes17|ITResList17],
+
+
+ ?line {STRes102,S102} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO019.xsd','./msxsdtest/wildCards',valid),
+ STResList103 = [STRes102|STResList102],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO019.xml','./msxsdtest/wildCards',valid,S102),
+ ITResList19 = [ITRes18|ITResList18],
+
+
+ ?line {STRes103,S103} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO020.xsd','./msxsdtest/wildCards',valid),
+ STResList104 = [STRes103|STResList103],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO020.xml','./msxsdtest/wildCards',invalid,S103),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes104,S104} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO021.xsd','./msxsdtest/wildCards',valid),
+ STResList105 = [STRes104|STResList104],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO021.xml','./msxsdtest/wildCards',valid,S104),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes105,S105} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO022.xsd','./msxsdtest/wildCards',valid),
+ STResList106 = [STRes105|STResList105],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO022.xml','./msxsdtest/wildCards',invalid,S105),
+ ITResList22 = [ITRes21|ITResList21],
+
+
+ ?line {STRes106,S106} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO023.xsd','./msxsdtest/wildCards',valid),
+ STResList107 = [STRes106|STResList106],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO023.xml','./msxsdtest/wildCards',valid,S106),
+ ITResList23 = [ITRes22|ITResList22],
+
+
+ ?line {STRes107,S107} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO024.xsd','./msxsdtest/wildCards',valid),
+ STResList108 = [STRes107|STResList107],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO024.xml','./msxsdtest/wildCards',invalid,S107),
+ ITResList24 = [ITRes23|ITResList23],
+
+
+ ?line {STRes108,S108} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO025.xsd','./msxsdtest/wildCards',valid),
+ STResList109 = [STRes108|STResList108],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO025.xml','./msxsdtest/wildCards',invalid,S108),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes109,S109} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO026.xsd','./msxsdtest/wildCards',valid),
+ STResList110 = [STRes109|STResList109],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO026.xml','./msxsdtest/wildCards',valid,S109),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes110,S110} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO027.xsd','./msxsdtest/wildCards',valid),
+ STResList111 = [STRes110|STResList110],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO027.xml','./msxsdtest/wildCards',valid,S110),
+ ITResList27 = [ITRes26|ITResList26],
+
+
+ ?line {STRes111,S111} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO028.xsd','./msxsdtest/wildCards',valid),
+ STResList112 = [STRes111|STResList111],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO028.xml','./msxsdtest/wildCards',invalid,S111),
+ ITResList28 = [ITRes27|ITResList27],
+
+
+ ?line {STRes112,S112} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO029.xsd','./msxsdtest/wildCards',valid),
+ STResList113 = [STRes112|STResList112],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO029.xml','./msxsdtest/wildCards',valid,S112),
+ ITResList29 = [ITRes28|ITResList28],
+
+
+ ?line {STRes113,S113} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO030.xsd','./msxsdtest/wildCards',valid),
+ STResList114 = [STRes113|STResList113],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO030.xml','./msxsdtest/wildCards',invalid,S113),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes114,S114} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO031.xsd','./msxsdtest/wildCards',valid),
+ STResList115 = [STRes114|STResList114],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO031.xml','./msxsdtest/wildCards',valid,S114),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes115,S115} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO032.xsd','./msxsdtest/wildCards',valid),
+ STResList116 = [STRes115|STResList115],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO032.xml','./msxsdtest/wildCards',invalid,S115),
+ ITResList32 = [ITRes31|ITResList31],
+
+
+ ?line {STRes116,S116} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO033.xsd','./msxsdtest/wildCards',valid),
+ STResList117 = [STRes116|STResList116],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO033.xml','./msxsdtest/wildCards',valid,S116),
+ ITResList33 = [ITRes32|ITResList32],
+
+
+ ?line {STRes117,S117} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO034.xsd','./msxsdtest/wildCards',valid),
+ STResList118 = [STRes117|STResList117],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO034.xml','./msxsdtest/wildCards',invalid,S117),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ ?line {STRes118,S118} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO035.xsd','./msxsdtest/wildCards',valid),
+ STResList119 = [STRes118|STResList118],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO035.xml','./msxsdtest/wildCards',invalid,S118),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes119,S119} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO037.xsd','./msxsdtest/wildCards',valid),
+ STResList120 = [STRes119|STResList119],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO037.xml','./msxsdtest/wildCards',valid,S119),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes120,S120} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO038.xsd','./msxsdtest/wildCards',valid),
+ STResList121 = [STRes120|STResList120],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO038.xml','./msxsdtest/wildCards',valid,S120),
+ ITResList37 = [ITRes36|ITResList36],
+
+
+ ?line {STRes121,S121} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO039.xsd','./msxsdtest/wildCards',valid),
+ STResList122 = [STRes121|STResList121],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO039.xml','./msxsdtest/wildCards',invalid,S121),
+ ITResList38 = [ITRes37|ITResList37],
+
+
+ ?line {STRes122,S122} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildO040.xsd','./msxsdtest/wildCards',valid),
+ STResList123 = [STRes122|STResList122],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildO040.xml','./msxsdtest/wildCards',valid,S122),
+ ITResList39 = [ITRes38|ITResList38],
+
+
+ ?line {STRes123,S123} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildP001.xsd','./msxsdtest/wildCards',valid),
+ STResList124 = [STRes123|STResList123],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildP001.xml','./msxsdtest/wildCards',valid,S123),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes124,S124} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildP002.xsd','./msxsdtest/wildCards',valid),
+ STResList125 = [STRes124|STResList124],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildP002.xml','./msxsdtest/wildCards',invalid,S124),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes125,S125} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildP003.xsd','./msxsdtest/wildCards',valid),
+ STResList126 = [STRes125|STResList125],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildP003.xml','./msxsdtest/wildCards',valid,S125),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes126,S126} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildP004.xsd','./msxsdtest/wildCards',valid),
+ STResList127 = [STRes126|STResList126],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildP004.xml','./msxsdtest/wildCards',valid,S126),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes127,S127} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildP005.xsd','./msxsdtest/wildCards',valid),
+ STResList128 = [STRes127|STResList127],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildP005.xml','./msxsdtest/wildCards',valid,S127),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes128,S128} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildP006.xsd','./msxsdtest/wildCards',valid),
+ STResList129 = [STRes128|STResList128],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildP006.xml','./msxsdtest/wildCards',valid,S128),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList129,ITResList45).
+
+%% Bugs - Wildcards.
+
+wildZ(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./msxsdtest/wildCards/wildZ001.xsd','./msxsdtest/wildCards',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./msxsdtest/wildCards/wildZ001.xml','./msxsdtest/wildCards',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList1).
+
diff --git a/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msx_failed_cases.log b/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msx_failed_cases.log
new file mode 100644
index 0000000000..473c7aabf6
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msx_failed_cases.log
@@ -0,0 +1,2226 @@
+{att,{["attgD041.xsd",
+ "attgD039.xsd",
+ "attgD038.xsd",
+ "attgD037.xsd",
+ "attgD017.xsd",
+ "attgD015.xsd",
+ "attgD012.xsd",
+ "attgD009.xsd",
+ "attgD008.xsd",
+ "attgD007.xsd",
+ "attgD006.xsd",
+ "attgD002.xsd",
+ "attgC044.xsd",
+ "attgC033.xsd",
+ "attgC028.xsd",
+ "attgC027.xsd",
+ "attgC026.xsd",
+ "attgC025.xsd",
+ "attgC024.xsd",
+ "attgC023.xsd",
+ "attgC020.xsd",
+ "attgC010.xsd",
+ "attgC001.xsd",
+ "attgB006.xsd",
+ "attgB003.xsd",
+ "attgB002.xsd",
+ "attgA009.xsd",
+ "attgA008.xsd",
+ "attgA007.xsd",
+ "attgA006.xsd",
+ "attgA005.xsd",
+ "attgA004.xsd",
+ "attgA003.xsd",
+ "attgA002.xsd",
+ "attZ003.xsd",
+ "attQ013.xsd",
+ "attQ012.xsd",
+ "attQ011.xsd",
+ "attQ009.xsd",
+ "attQ008.xsd",
+ "attQ007.xsd",
+ "attQ006.xsd",
+ "attQ004.xsd",
+ "attQ002.xsd",
+ "attQ001.xsd",
+ "attP030.xsd",
+ "attP006.xsd",
+ "attP002.xsd",
+ "attP001.xsd",
+ "attO024.xsd",
+ "attO023.xsd",
+ "attO022.xsd",
+ "attO021.xsd",
+ "attO020.xsd",
+ "attO019.xsd",
+ "attO017.xsd",
+ "attO016.xsd",
+ "attO015.xsd",
+ "attO014.xsd",
+ "attO013.xsd",
+ "attO005.xsd",
+ "attO003.xsd",
+ "attO002.xsd",
+ "attKc018.xsd",
+ "attKc017.xsd",
+ "attKc016.xsd",
+ "attKc015.xsd",
+ "attKc014.xsd",
+ "attKc013.xsd",
+ "attKc012.xsd",
+ "attKc011.xsd",
+ "attKc010.xsd",
+ "attKc005.xsd",
+ "attKc004.xsd",
+ "attKc001.xsd",
+ "attKb018.xsd",
+ "attKb017.xsd",
+ "attKb016.xsd",
+ "attKb015.xsd",
+ "attKb014.xsd",
+ "attKb013.xsd",
+ "attKb012.xsd",
+ "attKb011.xsd",
+ "attKb010.xsd",
+ "attKb005.xsd",
+ "attKb004.xsd",
+ "attKb001.xsd",
+ "attKa015.xsd",
+ "attKa011.xsd",
+ "attKa009.xsd",
+ "attKa008.xsd",
+ "attKa007.xsd",
+ "attKa005.xsd",
+ "attKa004.xsd",
+ "attKa003.xsd",
+ "attI005.xsd",
+ "attI004.xsd",
+ "attH001.xsd",
+ "attE006.xsd",
+ "attC010.xsd",
+ "attC009.xsd",
+ "attC008.xsd",
+ "attC007.xsd",
+ "attC006.xsd",
+ "attC005.xsd",
+ "attA002.xsd",
+ "attA001.xsd",
+ "attgD036.xml",
+ "attgD034.xml",
+ "attgD033.xml",
+ "attgD031.xml",
+ "attgD029.xml",
+ "attgD027.xml",
+ "attgD026.xml",
+ "attgD024.xml",
+ "attgD022.xml",
+ "attgC038.xml",
+ "attgC037.xml",
+ "attgC036.xml",
+ "attgC035.xml",
+ "attgC026.xml",
+ "attgC024.xml",
+ "attgC007.xml",
+ "attZ002.xml",
+ "attZ001.xml",
+ "attP031.xml",
+ "attP020.xml",
+ "attP018.xml",
+ "attP016.xml",
+ "attP014.xml",
+ "attP012.xml",
+ "attP010.xml",
+ "attP009.xml",
+ "attP005.xml",
+ "attO012.xml",
+ "attO008.xml",
+ "attO006.xml",
+ "attMc012.xml",
+ "attMc011.xml",
+ "attMc010.xml",
+ "attMc003.xml",
+ "attMc002.xml",
+ "attMc001.xml",
+ "attMb012.xml",
+ "attMb011.xml",
+ "attMb010.xml",
+ "attMb003.xml",
+ "attMb002.xml",
+ "attMb001.xml",
+ "attMa002.xml",
+ "attMa001.xml",
+ "attLc005.xml",
+ "attLb005.xml",
+ "attLa005.xml",
+ "attJ008.xml",
+ "attJ003.xml",
+ "attJ002.xml",
+ "attF001.xml",
+ "attD007.xml",
+ "attD004.xml"],[]}}.
+{ct,{["ctO004.xsd",
+ "ctO002.xsd",
+ "ctN002.xsd",
+ "ctM004.xsd",
+ "ctM003.xsd",
+ "ctM001.xsd",
+ "ctJ003.xsd",
+ "ctJ002.xsd",
+ "ctI024.xsd",
+ "ctI020.xsd",
+ "ctI017.xsd",
+ "ctI016.xsd",
+ "ctI013.xsd",
+ "ctI012.xsd",
+ "ctI011.xsd",
+ "ctI008.xsd",
+ "ctI007.xsd",
+ "ctI006.xsd",
+ "ctH081.xsd",
+ "ctH078.xsd",
+ "ctH077.xsd",
+ "ctH076.xsd",
+ "ctH075.xsd",
+ "ctH074.xsd",
+ "ctH073.xsd",
+ "ctH072.xsd",
+ "ctH069.xsd",
+ "ctH068.xsd",
+ "ctH067.xsd",
+ "ctH066.xsd",
+ "ctH060.xsd",
+ "ctH059.xsd",
+ "ctH057.xsd",
+ "ctH056.xsd",
+ "ctH054.xsd",
+ "ctH053.xsd",
+ "ctH052.xsd",
+ "ctH051.xsd",
+ "ctH050.xsd",
+ "ctH048.xsd",
+ "ctH046.xsd",
+ "ctH045.xsd",
+ "ctH042.xsd",
+ "ctH041.xsd",
+ "ctH040.xsd",
+ "ctH039.xsd",
+ "ctH038.xsd",
+ "ctH036.xsd",
+ "ctH034.xsd",
+ "ctH033.xsd",
+ "ctH030.xsd",
+ "ctH029.xsd",
+ "ctH028.xsd",
+ "ctH027.xsd",
+ "ctH026.xsd",
+ "ctH024.xsd",
+ "ctH022.xsd",
+ "ctH021.xsd",
+ "ctH018.xsd",
+ "ctH017.xsd",
+ "ctH016.xsd",
+ "ctH015.xsd",
+ "ctH014.xsd",
+ "ctH012.xsd",
+ "ctH010.xsd",
+ "ctH009.xsd",
+ "ctH006.xsd",
+ "ctH005.xsd",
+ "ctH004.xsd",
+ "ctH003.xsd",
+ "ctH002.xsd",
+ "ctG076.xsd",
+ "ctG075.xsd",
+ "ctG071.xsd",
+ "ctG069.xsd",
+ "ctG068.xsd",
+ "ctG067.xsd",
+ "ctG066.xsd",
+ "ctG060.xsd",
+ "ctG058.xsd",
+ "ctG057.xsd",
+ "ctG056.xsd",
+ "ctG055.xsd",
+ "ctG054.xsd",
+ "ctG053.xsd",
+ "ctG049.xsd",
+ "ctG046.xsd",
+ "ctG045.xsd",
+ "ctG038.xsd",
+ "ctG034.xsd",
+ "ctG033.xsd",
+ "ctG026.xsd",
+ "ctG023.xsd",
+ "ctG022.xsd",
+ "ctG021.xsd",
+ "ctG020.xsd",
+ "ctG019.xsd",
+ "ctG013.xsd",
+ "ctG011.xsd",
+ "ctG010.xsd",
+ "ctG009.xsd",
+ "ctG008.xsd",
+ "ctG007.xsd",
+ "ctG001.xsd",
+ "ctF013.xsd",
+ "ctF009.xsd",
+ "ctF008.xsd",
+ "ctF007.xsd",
+ "ctF005.xsd",
+ "ctF004.xsd",
+ "ctF003.xsd",
+ "ctF002.xsd",
+ "ctE016.xsd",
+ "ctE015.xsd",
+ "ctE014.xsd",
+ "ctE013.xsd",
+ "ctE012.xsd",
+ "ctE011.xsd",
+ "ctE009.xsd",
+ "ctE005.xsd",
+ "ctE003.xsd",
+ "ctD043.xsd",
+ "ctD042.xsd",
+ "ctD041.xsd",
+ "ctD040.xsd",
+ "ctD032.xsd",
+ "ctD031.xsd",
+ "ctD011.xsd",
+ "ctD007.xsd",
+ "ctD004.xsd",
+ "ctD001.xsd",
+ "ctC005.xsd",
+ "ctC004.xsd",
+ "ctC003.xsd",
+ "ctC002.xsd",
+ "ctB125.xsd",
+ "ctB124.xsd",
+ "ctB123.xsd",
+ "ctB122.xsd",
+ "ctB121.xsd",
+ "ctB120.xsd",
+ "ctB119.xsd",
+ "ctB118.xsd",
+ "ctB117.xsd",
+ "ctB116.xsd",
+ "ctB115.xsd",
+ "ctB114.xsd",
+ "ctB112.xsd",
+ "ctB107.xsd",
+ "ctB106.xsd",
+ "ctB105.xsd",
+ "ctB104.xsd",
+ "ctB102.xsd",
+ "ctB101.xsd",
+ "ctB099.xsd",
+ "ctB094.xsd",
+ "ctB093.xsd",
+ "ctB092.xsd",
+ "ctB091.xsd",
+ "ctB090.xsd",
+ "ctB089.xsd",
+ "ctB088.xsd",
+ "ctB086.xsd",
+ "ctB080.xsd",
+ "ctB079.xsd",
+ "ctB078.xsd",
+ "ctB077.xsd",
+ "ctB076.xsd",
+ "ctB075.xsd",
+ "ctB074.xsd",
+ "ctB072.xsd",
+ "ctB066.xsd",
+ "ctB065.xsd",
+ "ctB064.xsd",
+ "ctB063.xsd",
+ "ctB062.xsd",
+ "ctB061.xsd",
+ "ctB060.xsd",
+ "ctB058.xsd",
+ "ctB052.xsd",
+ "ctB051.xsd",
+ "ctB050.xsd",
+ "ctB049.xsd",
+ "ctB048.xsd",
+ "ctB047.xsd",
+ "ctB046.xsd",
+ "ctB044.xsd",
+ "ctB038.xsd",
+ "ctB037.xsd",
+ "ctB036.xsd",
+ "ctB035.xsd",
+ "ctB034.xsd",
+ "ctB033.xsd",
+ "ctB032.xsd",
+ "ctB030.xsd",
+ "ctB029.xsd",
+ "ctB026.xsd",
+ "ctB025.xsd",
+ "ctB024.xsd",
+ "ctB023.xsd",
+ "ctB022.xsd",
+ "ctB021.xsd",
+ "ctB020.xsd",
+ "ctB019.xsd",
+ "ctB018.xsd",
+ "ctB016.xsd",
+ "ctB015.xsd",
+ "ctB014.xsd",
+ "ctB013.xsd",
+ "ctB012.xsd",
+ "ctB011.xsd",
+ "ctB010.xsd",
+ "ctB009.xsd",
+ "ctB008.xsd",
+ "ctB007.xsd",
+ "ctB005.xsd",
+ "ctB004.xsd",
+ "ctB002.xsd",
+ "ctA046.xsd",
+ "ctA044.xsd",
+ "ctA043.xsd",
+ "ctA042.xsd",
+ "ctA031.xsd",
+ "ctA030.xsd",
+ "ctA029.xsd",
+ "ctA028.xsd",
+ "ctA024.xsd",
+ "ctA023.xsd",
+ "ctA015.xsd",
+ "ctA014.xsd",
+ "ctO006.xml",
+ "ctL021.xml",
+ "ctL018.xml",
+ "ctL016.xml",
+ "ctL005.xml",
+ "ctJ001.xml",
+ "ctI049.xml",
+ "ctI048.xml",
+ "ctI045.xml",
+ "ctI042.xml",
+ "ctI041.xml",
+ "ctI040.xml",
+ "ctI035.xml",
+ "ctI032.xml",
+ "ctI031.xml",
+ "ctI030.xml",
+ "ctH082.xml",
+ "ctH069.xml",
+ "ctH068.xml",
+ "ctH067.xml",
+ "ctH066.xml",
+ "ctH060.xml",
+ "ctH057.xml",
+ "ctH056.xml",
+ "ctH046.xml",
+ "ctH045.xml",
+ "ctH035.xml",
+ "ctH034.xml",
+ "ctH033.xml",
+ "ctH032.xml",
+ "ctH031.xml",
+ "ctH025.xml",
+ "ctH023.xml",
+ "ctH022.xml",
+ "ctH021.xml",
+ "ctH020.xml",
+ "ctH019.xml",
+ "ctH013.xml",
+ "ctH011.xml",
+ "ctH010.xml",
+ "ctH009.xml",
+ "ctH008.xml",
+ "ctH007.xml",
+ "ctH001.xml",
+ "ctG071.xml",
+ "ctG069.xml",
+ "ctG068.xml",
+ "ctG067.xml",
+ "ctG066.xml",
+ "ctG060.xml",
+ "ctG058.xml",
+ "ctG057.xml",
+ "ctG056.xml",
+ "ctG055.xml",
+ "ctG049.xml",
+ "ctG047.xml",
+ "ctG046.xml",
+ "ctG045.xml",
+ "ctG035.xml",
+ "ctG034.xml",
+ "ctG033.xml",
+ "ctG023.xml",
+ "ctG022.xml",
+ "ctG021.xml",
+ "ctG020.xml",
+ "ctG019.xml",
+ "ctG013.xml",
+ "ctG011.xml",
+ "ctG010.xml",
+ "ctG009.xml",
+ "ctG008.xml",
+ "ctG007.xml",
+ "ctG001.xml",
+ "ctF014.xml",
+ "ctF013.xml",
+ "ctF011.xml",
+ "ctF007.xml",
+ "ctF006.xml",
+ "ctD032.xml",
+ "ctD031.xml"],[]}}.
+{elem,{["elemT015.xsd",
+ "elemT014.xsd",
+ "elemT013.xsd",
+ "elemT012.xsd",
+ "elemT011.xsd",
+ "elemS006.xsd",
+ "elemS005.xsd",
+ "elemS004.xsd",
+ "elemS001.xsd",
+ "elemQ006.xsd",
+ "elemQ004.xsd",
+ "elemP006.xsd",
+ "elemN006.xsd",
+ "elemL003.xsd",
+ "elemK007.xsd",
+ "elemJ020.xsd",
+ "elemJ019.xsd",
+ "elemJ015.xsd",
+ "elemJ012.xsd",
+ "elemJ007.xsd",
+ "elemH006.xsd",
+ "elemH005.xsd",
+ "elemH004.xsd",
+ "elemH003.xsd",
+ "elemG004.xsd",
+ "elemG003.xsd",
+ "elemE006.xsd",
+ "elemD005.xsd",
+ "elemD004.xsd",
+ "elemA014.xsd",
+ "elemA013.xsd",
+ "elemA011.xsd",
+ "elemA010.xsd",
+ "elemA009.xsd",
+ "elemA006.xsd",
+ "elemZ002.xml",
+ "elemU007.xml",
+ "elemU006.xml",
+ "elemU005.xml",
+ "elemU003.xml",
+ "elemT058.xml",
+ "elemT044.xml",
+ "elemT043.xml",
+ "elemT042.xml",
+ "elemT041.xml",
+ "elemT040.xml",
+ "elemT039.xml",
+ "elemT029.xml",
+ "elemT028.xml",
+ "elemT027.xml",
+ "elemT026.xml",
+ "elemT024.xml",
+ "elemT022.xml",
+ "elemT018.xml",
+ "elemT015.xml",
+ "elemT014.xml",
+ "elemT004.xml",
+ "elemT001.xml",
+ "elemQ022.xml",
+ "elemQ018.xml",
+ "elemO011.xml",
+ "elemO006.xml"],[]}}.
+{group,{["groupO027.xsd",
+ "groupO025.xsd",
+ "groupO024.xsd",
+ "groupO023.xsd",
+ "groupO022.xsd",
+ "groupO021.xsd",
+ "groupO020.xsd",
+ "groupO019.xsd",
+ "groupO018.xsd",
+ "groupO017.xsd",
+ "groupO016.xsd",
+ "groupO015.xsd",
+ "groupO013.xsd",
+ "groupO012.xsd",
+ "groupO011.xsd",
+ "groupO010.xsd",
+ "groupO003.xsd",
+ "groupO002.xsd",
+ "groupN025.xsd",
+ "groupN024.xsd",
+ "groupN023.xsd",
+ "groupN022.xsd",
+ "groupM002.xsd",
+ "groupL025.xsd",
+ "groupL024.xsd",
+ "groupL023.xsd",
+ "groupL022.xsd",
+ "groupK002.xsd",
+ "groupJ025.xsd",
+ "groupJ024.xsd",
+ "groupJ023.xsd",
+ "groupJ022.xsd",
+ "groupI003.xsd",
+ "groupI002.xsd",
+ "groupH019.xsd",
+ "groupH018.xsd",
+ "groupH016.xsd",
+ "groupH015.xsd",
+ "groupH014.xsd",
+ "groupH013.xsd",
+ "groupH012.xsd",
+ "groupH011.xsd",
+ "groupH010.xsd",
+ "groupH009.xsd",
+ "groupH008.xsd",
+ "groupH006.xsd",
+ "groupH005.xsd",
+ "groupH004.xsd",
+ "groupH003.xsd",
+ "groupH002.xsd",
+ "groupH001.xsd",
+ "groupG005.xsd",
+ "groupG004.xsd",
+ "groupG003.xsd",
+ "groupG001.xsd",
+ "groupF025.xsd",
+ "groupF024.xsd",
+ "groupF023.xsd",
+ "groupF022.xsd",
+ "groupE002.xsd",
+ "groupD003.xsd",
+ "groupD001.xsd",
+ "groupC010.xsd",
+ "groupC008.xsd",
+ "groupC007.xsd",
+ "groupC006.xsd",
+ "groupC004.xsd",
+ "groupB017.xsd",
+ "groupB015.xsd",
+ "groupB014.xsd",
+ "groupB013.xsd",
+ "groupB012.xsd",
+ "groupB002.xsd",
+ "groupB001.xsd",
+ "groupA012.xsd",
+ "groupA010.xsd",
+ "groupA009.xsd",
+ "groupA007.xsd",
+ "groupA006.xsd",
+ "groupA005.xsd",
+ "groupA004.xsd",
+ "groupA003.xsd",
+ "groupO009.xml",
+ "groupO007.xml",
+ "groupO004.xml",
+ "groupN019.xml",
+ "groupN015.xml",
+ "groupN012.xml",
+ "groupN008.xml",
+ "groupN007.xml",
+ "groupN006.xml",
+ "groupN003.xml",
+ "groupM005.xml",
+ "groupL019.xml",
+ "groupL015.xml",
+ "groupL012.xml",
+ "groupL008.xml",
+ "groupL007.xml",
+ "groupL006.xml",
+ "groupL003.xml",
+ "groupK005.xml",
+ "groupJ019.xml",
+ "groupJ015.xml",
+ "groupJ012.xml",
+ "groupJ008.xml",
+ "groupJ007.xml",
+ "groupJ006.xml",
+ "groupJ003.xml",
+ "groupI005.xml",
+ "groupH018.xml",
+ "groupH014.xml",
+ "groupH011.xml",
+ "groupH009.xml",
+ "groupH007.xml",
+ "groupH005.xml",
+ "groupH004.xml",
+ "groupH002.xml",
+ "groupH001.xml",
+ "groupG004.xml",
+ "groupF021.xml",
+ "groupF018.xml",
+ "groupF017.xml",
+ "groupF016.xml",
+ "groupF014.xml",
+ "groupF013.xml",
+ "groupF011.xml",
+ "groupF010.xml",
+ "groupF009.xml",
+ "groupF005.xml",
+ "groupF002.xml",
+ "groupE004.xml",
+ "groupE003.xml",
+ "groupB010.xml",
+ "groupB009.xml",
+ "groupB002.xml"],[]}}.
+{idc_,{["idc_gMonth_gMonth.xml",
+ "idc_gDay_gDay.xml",
+ "idc_gMonthDay_gMonthDay.xml",
+ "idc_gYear_gYear.xml",
+ "idc_gYearMonth_gYearMonth.xml",
+ "idc_date_date.xml",
+ "idc_time_time.xml",
+ "idc_dateTime_dateTime.xml",
+ "idc_duration_duration.xml",
+ "idc_NOTATION_NOTATION.xml",
+ "idc_QName_QName.xml",
+ "idc_anyURI_anyURI.xml",
+ "idc_double_double.xml",
+ "idc_unsignedByte_unsignedByte.xml",
+ "idc_unsignedByte_unsignedShort.xml",
+ "idc_unsignedByte_unsignedInt.xml",
+ "idc_unsignedByte_unsignedLong.xml",
+ "idc_unsignedByte_integer.xml",
+ "idc_unsignedByte_decimal.xml",
+ "idc_unsignedShort_unsignedByte.xml",
+ "idc_unsignedShort_unsignedShort.xml",
+ "idc_unsignedShort_unsignedInt.xml",
+ "idc_unsignedShort_unsignedLong.xml",
+ "idc_unsignedShort_integer.xml",
+ "idc_unsignedShort_decimal.xml",
+ "idc_unsignedInt_unsignedByte.xml",
+ "idc_unsignedInt_unsignedShort.xml",
+ "idc_unsignedInt_unsignedInt.xml",
+ "idc_unsignedInt_unsignedLong.xml",
+ "idc_unsignedInt_integer.xml",
+ "idc_unsignedInt_decimal.xml",
+ "idc_unsignedLong_unsignedByte.xml",
+ "idc_unsignedLong_unsignedShort.xml",
+ "idc_unsignedLong_unsignedInt.xml",
+ "idc_unsignedLong_unsignedLong.xml",
+ "idc_unsignedLong_integer.xml",
+ "idc_unsignedLong_decimal.xml",
+ "idc_positiveInteger_integer.xml",
+ "idc_positiveInteger_decimal.xml",
+ "idc_nonNegativeInteger_integer.xml",
+ "idc_nonNegativeInteger_decimal.xml",
+ "idc_byte_byte.xml",
+ "idc_byte_short.xml",
+ "idc_byte_int.xml",
+ "idc_byte_long.xml",
+ "idc_byte_integer.xml",
+ "idc_byte_decimal.xml",
+ "idc_short_byte.xml",
+ "idc_short_short.xml",
+ "idc_short_int.xml",
+ "idc_short_long.xml",
+ "idc_short_integer.xml",
+ "idc_short_decimal.xml",
+ "idc_int_byte.xml",
+ "idc_int_short.xml",
+ "idc_int_int.xml",
+ "idc_int_long.xml",
+ "idc_int_integer.xml",
+ "idc_int_decimal.xml",
+ "idc_long_byte.xml",
+ "idc_long_short.xml",
+ "idc_long_int.xml",
+ "idc_long_long.xml",
+ "idc_long_integer.xml",
+ "idc_long_decimal.xml",
+ "idc_negativeInteger_integer.xml",
+ "idc_negativeInteger_decimal.xml",
+ "idc_nonPositiveInteger_integer.xml",
+ "idc_nonPositiveInteger_decimal.xml",
+ "idc_integer_unsignedByte.xml",
+ "idc_integer_unsignedShort.xml",
+ "idc_integer_unsignedInt.xml",
+ "idc_integer_unsignedLong.xml",
+ "idc_integer_positiveInteger.xml",
+ "idc_integer_nonNegativeInteger.xml",
+ "idc_integer_byte.xml",
+ "idc_integer_short.xml",
+ "idc_integer_int.xml",
+ "idc_integer_long.xml",
+ "idc_integer_negativeInteger.xml",
+ "idc_integer_nonPositiveInteger.xml",
+ "idc_integer_integer.xml",
+ "idc_integer_decimal.xml",
+ "idc_decimal_unsignedByte.xml",
+ "idc_decimal_unsignedShort.xml",
+ "idc_decimal_unsignedInt.xml",
+ "idc_decimal_unsignedLong.xml",
+ "idc_decimal_positiveInteger.xml",
+ "idc_decimal_nonNegativeInteger.xml",
+ "idc_decimal_byte.xml",
+ "idc_decimal_short.xml",
+ "idc_decimal_int.xml",
+ "idc_decimal_long.xml",
+ "idc_decimal_negativeInteger.xml",
+ "idc_decimal_nonPositiveInteger.xml",
+ "idc_decimal_integer.xml",
+ "idc_decimal_decimal.xml",
+ "idc_float_float.xml",
+ "idc_boolean_boolean.xml",
+ "idc_NMTOKEN_NMTOKEN.xml",
+ "idc_NMTOKEN_token.xml",
+ "idc_NMTOKEN_normalizedString.xml",
+ "idc_NMTOKEN_string.xml",
+ "idc_IDREF_IDREF.xml",
+ "idc_IDREF_NCName.xml",
+ "idc_IDREF_Name.xml",
+ "idc_IDREF_token.xml",
+ "idc_IDREF_normalizedString.xml",
+ "idc_IDREF_string.xml",
+ "idc_ID_NCName.xml",
+ "idc_ID_Name.xml",
+ "idc_ID_token.xml",
+ "idc_ID_normalizedString.xml",
+ "idc_ID_string.xml",
+ "idc_NCName_IDREF.xml",
+ "idc_NCName_ID.xml",
+ "idc_NCName_NCName.xml",
+ "idc_NCName_Name.xml",
+ "idc_NCName_token.xml",
+ "idc_NCName_normalizedString.xml",
+ "idc_NCName_string.xml",
+ "idc_Name_IDREF.xml",
+ "idc_Name_ID.xml",
+ "idc_Name_NCName.xml",
+ "idc_Name_Name.xml",
+ "idc_Name_token.xml",
+ "idc_Name_normalizedString.xml",
+ "idc_Name_string.xml",
+ "idc_language_language.xml",
+ "idc_language_token.xml",
+ "idc_language_normalizedString.xml",
+ "idc_language_string.xml",
+ "idc_token_NMTOKEN.xml",
+ "idc_token_IDREF.xml",
+ "idc_token_ID.xml",
+ "idc_token_NCName.xml",
+ "idc_token_Name.xml",
+ "idc_token_language.xml",
+ "idc_token_token.xml",
+ "idc_token_normalizedString.xml",
+ "idc_token_string.xml",
+ "idc_normalizedString_NMTOKEN.xml",
+ "idc_normalizedString_IDREF.xml",
+ "idc_normalizedString_ID.xml",
+ "idc_normalizedString_NCName.xml",
+ "idc_normalizedString_Name.xml",
+ "idc_normalizedString_language.xml",
+ "idc_normalizedString_token.xml",
+ "idc_normalizedString_string.xml",
+ "idc_string_NMTOKEN.xml",
+ "idc_string_IDREF.xml",
+ "idc_string_ID.xml",
+ "idc_string_NCName.xml",
+ "idc_string_Name.xml",
+ "idc_string_language.xml",
+ "idc_string_token.xml",
+ "idc_string_normalizedString.xml",
+ "idc_string_string.xml"],["idc_unsignedByte_nonNegativeInteger.xsd",
+ "idc_unsignedByte_normalizedString.xsd",
+ "idc_unsignedShort_positiveInteger.xsd",
+ "idc_unsignedShort_nonNegativeInteger.xsd",
+ "idc_unsignedShort_normalizedString.xsd",
+ "idc_unsignedInt_nonNegativeInteger.xsd",
+ "idc_unsignedLong_nonNegativeInteger.xsd",
+ "idc_unsignedLong_normalizedString.xsd",
+ "idc_positiveInteger_unsignedShort.xsd",
+ "idc_positiveInteger_positiveInteger.xsd",
+ "idc_positiveInteger_nonNegativeInteger.xsd",
+ "idc_positiveInteger_normalizedString.xsd",
+ "idc_nonNegativeInteger_unsignedByte.xsd",
+ "idc_nonNegativeInteger_unsignedShort.xsd",
+ "idc_nonNegativeInteger_unsignedInt.xsd",
+ "idc_nonNegativeInteger_unsignedLong.xsd",
+ "idc_nonNegativeInteger_positiveInteger.xsd",
+ "idc_nonNegativeInteger_nonNegativeInteger.xsd",
+ "idc_nonNegativeInteger_base64Binary.xsd",
+ "idc_nonNegativeInteger_normalizedString.xsd",
+ "idc_negativeInteger_negativeInteger.xsd",
+ "idc_negativeInteger_nonPositiveInteger.xsd",
+ "idc_negativeInteger_normalizedString.xsd",
+ "idc_nonPositiveInteger_negativeInteger.xsd",
+ "idc_nonPositiveInteger_nonPositiveInteger.xsd",
+ "idc_nonPositiveInteger_normalizedString.xsd",
+ "idc_base64Binary_nonNegativeInteger.xsd",
+ "idc_base64Binary_normalizedString.xsd",
+ "idc_normalizedString_unsignedByte.xsd",
+ "idc_normalizedString_unsignedShort.xsd",
+ "idc_normalizedString_unsignedLong.xsd",
+ "idc_normalizedString_positiveInteger.xsd",
+ "idc_normalizedString_nonNegativeInteger.xsd",
+ "idc_normalizedString_negativeInteger.xsd",
+ "idc_normalizedString_nonPositiveInteger.xsd",
+ "idc_normalizedString_base64Binary.xsd",
+ "idc_normalizedString_normalizedString.xsd",
+ "idc_unsignedByte_nonNegativeInteger.xml",
+ "idc_unsignedByte_normalizedString.xml",
+ "idc_unsignedShort_positiveInteger.xml",
+ "idc_unsignedShort_nonNegativeInteger.xml",
+ "idc_unsignedShort_normalizedString.xml",
+ "idc_unsignedInt_nonNegativeInteger.xml",
+ "idc_unsignedLong_nonNegativeInteger.xml",
+ "idc_unsignedLong_normalizedString.xml",
+ "idc_positiveInteger_unsignedShort.xml",
+ "idc_positiveInteger_positiveInteger.xml",
+ "idc_positiveInteger_nonNegativeInteger.xml",
+ "idc_positiveInteger_normalizedString.xml",
+ "idc_nonNegativeInteger_unsignedByte.xml",
+ "idc_nonNegativeInteger_unsignedShort.xml",
+ "idc_nonNegativeInteger_unsignedInt.xml",
+ "idc_nonNegativeInteger_unsignedLong.xml",
+ "idc_nonNegativeInteger_positiveInteger.xml",
+ "idc_nonNegativeInteger_nonNegativeInteger.xml",
+ "idc_nonNegativeInteger_base64Binary.xml",
+ "idc_nonNegativeInteger_normalizedString.xml",
+ "idc_negativeInteger_negativeInteger.xml",
+ "idc_negativeInteger_nonPositiveInteger.xml",
+ "idc_negativeInteger_normalizedString.xml",
+ "idc_nonPositiveInteger_negativeInteger.xml",
+ "idc_nonPositiveInteger_nonPositiveInteger.xml",
+ "idc_nonPositiveInteger_normalizedString.xml",
+ "idc_base64Binary_nonNegativeInteger.xml",
+ "idc_base64Binary_normalizedString.xml",
+ "idc_normalizedString_unsignedByte.xml",
+ "idc_normalizedString_unsignedShort.xml",
+ "idc_normalizedString_unsignedLong.xml",
+ "idc_normalizedString_positiveInteger.xml",
+ "idc_normalizedString_nonNegativeInteger.xml",
+ "idc_normalizedString_negativeInteger.xml",
+ "idc_normalizedString_nonPositiveInteger.xml",
+ "idc_normalizedString_base64Binary.xml",
+ "idc_normalizedString_normalizedString.xml"]}}.
+{id,{["idK016.xsd",
+ "idK015.xsd",
+ "idJ204.xsd",
+ "idJ203.xsd",
+ "idJ202.xsd",
+ "idJ201.xsd",
+ "idJ200.xsd",
+ "idJ199.xsd",
+ "idJ198.xsd",
+ "idJ197.xsd",
+ "idJ196.xsd",
+ "idJ195.xsd",
+ "idJ194.xsd",
+ "idJ193.xsd",
+ "idJ192.xsd",
+ "idJ191.xsd",
+ "idJ190.xsd",
+ "idJ189.xsd",
+ "idJ188.xsd",
+ "idJ187.xsd",
+ "idJ186.xsd",
+ "idJ185.xsd",
+ "idJ184.xsd",
+ "idJ183.xsd",
+ "idJ182.xsd",
+ "idJ181.xsd",
+ "idJ180.xsd",
+ "idJ179.xsd",
+ "idJ178.xsd",
+ "idJ177.xsd",
+ "idJ176.xsd",
+ "idJ175.xsd",
+ "idJ174.xsd",
+ "idJ173.xsd",
+ "idJ172.xsd",
+ "idJ171.xsd",
+ "idJ170.xsd",
+ "idJ169.xsd",
+ "idJ168.xsd",
+ "idJ167.xsd",
+ "idJ166.xsd",
+ "idJ165.xsd",
+ "idJ164.xsd",
+ "idJ163.xsd",
+ "idJ162.xsd",
+ "idJ161.xsd",
+ "idJ160.xsd",
+ "idJ159.xsd",
+ "idJ158.xsd",
+ "idJ157.xsd",
+ "idJ156.xsd",
+ "idJ155.xsd",
+ "idJ154.xsd",
+ "idJ153.xsd",
+ "idJ152.xsd",
+ "idJ151.xsd",
+ "idJ150.xsd",
+ "idJ149.xsd",
+ "idJ148.xsd",
+ "idJ147.xsd",
+ "idJ146.xsd",
+ "idJ145.xsd",
+ "idJ144.xsd",
+ "idJ143.xsd",
+ "idJ142.xsd",
+ "idJ141.xsd",
+ "idJ140.xsd",
+ "idJ139.xsd",
+ "idJ138.xsd",
+ "idJ137.xsd",
+ "idJ136.xsd",
+ "idJ135.xsd",
+ "idJ134.xsd",
+ "idJ133.xsd",
+ "idJ132.xsd",
+ "idJ131.xsd",
+ "idJ130.xsd",
+ "idJ129.xsd",
+ "idJ128.xsd",
+ "idJ127.xsd",
+ "idJ126.xsd",
+ "idJ125.xsd",
+ "idJ124.xsd",
+ "idJ123.xsd",
+ "idJ122.xsd",
+ "idJ121.xsd",
+ "idJ120.xsd",
+ "idJ119.xsd",
+ "idJ118.xsd",
+ "idJ117.xsd",
+ "idJ116.xsd",
+ "idJ115.xsd",
+ "idJ114.xsd",
+ "idJ113.xsd",
+ "idJ112.xsd",
+ "idJ111.xsd",
+ "idJ110.xsd",
+ "idJ109.xsd",
+ "idJ108.xsd",
+ "idJ107.xsd",
+ "idJ106.xsd",
+ "idJ105.xsd",
+ "idJ104.xsd",
+ "idJ103.xsd",
+ "idJ102.xsd",
+ "idJ101.xsd",
+ "idJ100.xsd",
+ "idJ099.xsd",
+ "idJ098.xsd",
+ "idJ097.xsd",
+ "idJ096.xsd",
+ "idJ095.xsd",
+ "idJ094.xsd",
+ "idJ093.xsd",
+ "idJ092.xsd",
+ "idJ091.xsd",
+ "idJ090.xsd",
+ "idJ089.xsd",
+ "idJ088.xsd",
+ "idJ087.xsd",
+ "idJ086.xsd",
+ "idJ085.xsd",
+ "idJ084.xsd",
+ "idJ083.xsd",
+ "idJ082.xsd",
+ "idJ081.xsd",
+ "idJ080.xsd",
+ "idJ079.xsd",
+ "idJ078.xsd",
+ "idJ077.xsd",
+ "idJ076.xsd",
+ "idJ075.xsd",
+ "idJ074.xsd",
+ "idJ073.xsd",
+ "idJ072.xsd",
+ "idJ071.xsd",
+ "idJ070.xsd",
+ "idJ069.xsd",
+ "idJ068.xsd",
+ "idJ067.xsd",
+ "idJ066.xsd",
+ "idJ065.xsd",
+ "idJ064.xsd",
+ "idJ063.xsd",
+ "idJ062.xsd",
+ "idJ061.xsd",
+ "idJ060.xsd",
+ "idJ059.xsd",
+ "idJ058.xsd",
+ "idJ057.xsd",
+ "idJ055.xsd",
+ "idJ054.xsd",
+ "idJ053.xsd",
+ "idJ051.xsd",
+ "idJ050.xsd",
+ "idJ049.xsd",
+ "idJ048.xsd",
+ "idJ047.xsd",
+ "idJ046.xsd",
+ "idJ045.xsd",
+ "idJ044.xsd",
+ "idJ043.xsd",
+ "idJ042.xsd",
+ "idJ041.xsd",
+ "idJ040.xsd",
+ "idJ039.xsd",
+ "idJ038.xsd",
+ "idJ037.xsd",
+ "idJ035.xsd",
+ "idJ034.xsd",
+ "idJ033.xsd",
+ "idJ031.xsd",
+ "idJ029.xsd",
+ "idJ028.xsd",
+ "idJ027.xsd",
+ "idJ024.xsd",
+ "idJ023.xsd",
+ "idJ022.xsd",
+ "idJ021.xsd",
+ "idJ020.xsd",
+ "idJ019.xsd",
+ "idJ018.xsd",
+ "idJ014.xsd",
+ "idJ013.xsd",
+ "idJ012.xsd",
+ "idJ011.xsd",
+ "idJ010.xsd",
+ "idJ009.xsd",
+ "idJ004.xsd",
+ "idI144.xsd",
+ "idI143.xsd",
+ "idI142.xsd",
+ "idI141.xsd",
+ "idI140.xsd",
+ "idI139.xsd",
+ "idI138.xsd",
+ "idI137.xsd",
+ "idI136.xsd",
+ "idI135.xsd",
+ "idI134.xsd",
+ "idI133.xsd",
+ "idI132.xsd",
+ "idI131.xsd",
+ "idI130.xsd",
+ "idI129.xsd",
+ "idI128.xsd",
+ "idI127.xsd",
+ "idI126.xsd",
+ "idI125.xsd",
+ "idI124.xsd",
+ "idI123.xsd",
+ "idI122.xsd",
+ "idI121.xsd",
+ "idI120.xsd",
+ "idI119.xsd",
+ "idI118.xsd",
+ "idI117.xsd",
+ "idI116.xsd",
+ "idI115.xsd",
+ "idI114.xsd",
+ "idI113.xsd",
+ "idI112.xsd",
+ "idI111.xsd",
+ "idI110.xsd",
+ "idI109.xsd",
+ "idI108.xsd",
+ "idI107.xsd",
+ "idI106.xsd",
+ "idI105.xsd",
+ "idI104.xsd",
+ "idI103.xsd",
+ "idI102.xsd",
+ "idI101.xsd",
+ "idI100.xsd",
+ "idI099.xsd",
+ "idI098.xsd",
+ "idI097.xsd",
+ "idI096.xsd",
+ "idI095.xsd",
+ "idI094.xsd",
+ "idI093.xsd",
+ "idI092.xsd",
+ "idI091.xsd",
+ "idI090.xsd",
+ "idI089.xsd",
+ "idI088.xsd",
+ "idI087.xsd",
+ "idI086.xsd",
+ "idI085.xsd",
+ "idI084.xsd",
+ "idI083.xsd",
+ "idI082.xsd",
+ "idI081.xsd",
+ "idI080.xsd",
+ "idI079.xsd",
+ "idI078.xsd",
+ "idI077.xsd",
+ "idI076.xsd",
+ "idI075.xsd",
+ "idI074.xsd",
+ "idI073.xsd",
+ "idI072.xsd",
+ "idI071.xsd",
+ "idI070.xsd",
+ "idI069.xsd",
+ "idI068.xsd",
+ "idI067.xsd",
+ "idI066.xsd",
+ "idI065.xsd",
+ "idI064.xsd",
+ "idI063.xsd",
+ "idI062.xsd",
+ "idI061.xsd",
+ "idI060.xsd",
+ "idI059.xsd",
+ "idI058.xsd",
+ "idI057.xsd",
+ "idI056.xsd",
+ "idI055.xsd",
+ "idI054.xsd",
+ "idI053.xsd",
+ "idI052.xsd",
+ "idI051.xsd",
+ "idI050.xsd",
+ "idI049.xsd",
+ "idI048.xsd",
+ "idI047.xsd",
+ "idI046.xsd",
+ "idI045.xsd",
+ "idI044.xsd",
+ "idI043.xsd",
+ "idI042.xsd",
+ "idI041.xsd",
+ "idI040.xsd",
+ "idI039.xsd",
+ "idI037.xsd",
+ "idI036.xsd",
+ "idI035.xsd",
+ "idI034.xsd",
+ "idI033.xsd",
+ "idI032.xsd",
+ "idI031.xsd",
+ "idI030.xsd",
+ "idI029.xsd",
+ "idI027.xsd",
+ "idI026.xsd",
+ "idI025.xsd",
+ "idI024.xsd",
+ "idI021.xsd",
+ "idI020.xsd",
+ "idI019.xsd",
+ "idI017.xsd",
+ "idI013.xsd",
+ "idI012.xsd",
+ "idI011.xsd",
+ "idI010.xsd",
+ "idI009.xsd",
+ "idI008.xsd",
+ "idI002.xsd",
+ "idE033.xsd",
+ "idE032.xsd",
+ "idE031.xsd",
+ "idE030.xsd",
+ "idE029.xsd",
+ "idE028.xsd",
+ "idE027.xsd",
+ "idE026.xsd",
+ "idE025.xsd",
+ "idE024.xsd",
+ "idE023.xsd",
+ "idE022.xsd",
+ "idE021.xsd",
+ "idE020.xsd",
+ "idE019.xsd",
+ "idE018.xsd",
+ "idE017.xsd",
+ "idE014.xsd",
+ "idE012.xsd",
+ "idE011.xsd",
+ "idE007.xsd",
+ "idE006.xsd",
+ "idE005.xsd",
+ "idE004.xsd",
+ "idE003.xsd",
+ "idE002.xsd",
+ "idD033.xsd",
+ "idD032.xsd",
+ "idD031.xsd",
+ "idD030.xsd",
+ "idD029.xsd",
+ "idD028.xsd",
+ "idD027.xsd",
+ "idD026.xsd",
+ "idD025.xsd",
+ "idD024.xsd",
+ "idD023.xsd",
+ "idD022.xsd",
+ "idD021.xsd",
+ "idD020.xsd",
+ "idD019.xsd",
+ "idD018.xsd",
+ "idD017.xsd",
+ "idD014.xsd",
+ "idD012.xsd",
+ "idD011.xsd",
+ "idD006.xsd",
+ "idD005.xsd",
+ "idD004.xsd",
+ "idD003.xsd",
+ "idD002.xsd",
+ "idC047.xsd",
+ "idC046.xsd",
+ "idC045.xsd",
+ "idC032.xsd",
+ "idC031.xsd",
+ "idC030.xsd",
+ "idC029.xsd",
+ "idC028.xsd",
+ "idC027.xsd",
+ "idC026.xsd",
+ "idC025.xsd",
+ "idC024.xsd",
+ "idC023.xsd",
+ "idC021.xsd",
+ "idC020.xsd",
+ "idC018.xsd",
+ "idC017.xsd",
+ "idC016.xsd",
+ "idC007.xsd",
+ "idC006.xsd",
+ "idC005.xsd",
+ "idC004.xsd",
+ "idC003.xsd",
+ "idC002.xsd",
+ "idB044.xsd",
+ "idB043.xsd",
+ "idB042.xsd",
+ "idB035.xsd",
+ "idB032.xsd",
+ "idB031.xsd",
+ "idB030.xsd",
+ "idB029.xsd",
+ "idB028.xsd",
+ "idB027.xsd",
+ "idB026.xsd",
+ "idB025.xsd",
+ "idB024.xsd",
+ "idB023.xsd",
+ "idB021.xsd",
+ "idB020.xsd",
+ "idB019.xsd",
+ "idB017.xsd",
+ "idB016.xsd",
+ "idB007.xsd",
+ "idB006.xsd",
+ "idB004.xsd",
+ "idB003.xsd",
+ "idB002.xsd",
+ "idA044.xsd",
+ "idA043.xsd",
+ "idA042.xsd",
+ "idA035.xsd",
+ "idA032.xsd",
+ "idA031.xsd",
+ "idA030.xsd",
+ "idA029.xsd",
+ "idA028.xsd",
+ "idA027.xsd",
+ "idA026.xsd",
+ "idA025.xsd",
+ "idA024.xsd",
+ "idA023.xsd",
+ "idA021.xsd",
+ "idA020.xsd",
+ "idA019.xsd",
+ "idA018.xsd",
+ "idA016.xsd",
+ "idA007.xsd",
+ "idA006.xsd",
+ "idA005.xsd",
+ "idA004.xsd",
+ "idA003.xsd",
+ "idA002.xsd",
+ "idL102.xml",
+ "idL100.xml",
+ "idL098.xml",
+ "idL096.xml",
+ "idL094.xml",
+ "idL092.xml",
+ "idL090.xml",
+ "idL075.xml",
+ "idL072.xml",
+ "idL069.xml",
+ "idL065.xml",
+ "idL062.xml",
+ "idL061.xml",
+ "idL057.xml",
+ "idL056.xml",
+ "idL052.xml",
+ "idL049.xml",
+ "idL048.xml",
+ "idL024.xml",
+ "idL023.xml",
+ "idK015.xml",
+ "idK014.xml",
+ "idK012.xml",
+ "idK003.xml",
+ "idH034.xml",
+ "idH032.xml",
+ "idH023.xml",
+ "idH012.xml",
+ "idH006.xml",
+ "idG030.xml",
+ "idG028.xml",
+ "idG019.xml",
+ "idG006.xml",
+ "idF036.xml",
+ "idF034.xml",
+ "idF033.xml",
+ "idF031.xml",
+ "idF026.xml",
+ "idF025.xml",
+ "idF014.xml",
+ "idF013.xml",
+ "idF012.xml",
+ "idF010.xml",
+ "idF009.xml",
+ "idF004.xml",
+ "idF003.xml"],[]}}.
+{mgABCD,{["mgC013.xsd",
+ "mgC009.xsd",
+ "mgC008.xsd",
+ "mgB010.xsd",
+ "mgB009.xsd",
+ "mgB008.xsd",
+ "mgB007.xsd",
+ "mgB003.xsd",
+ "mgAb007.xsd",
+ "mgAb006.xsd",
+ "mgAb004.xsd",
+ "mgAb003.xsd",
+ "mgAb002.xsd",
+ "mgAa007.xsd",
+ "mgAa006.xsd",
+ "mgAa004.xsd",
+ "mgAa002.xsd",
+ "mgA019.xsd",
+ "mgA018.xsd",
+ "mgA013.xsd",
+ "mgA012.xsd",
+ "mgA011.xsd",
+ "mgA008.xsd",
+ "mgA007.xsd",
+ "mgA005.xsd",
+ "mgA004.xsd",
+ "mgA003.xsd",
+ "mgA002.xsd",
+ "mgA015.xml"],[]}}.
+{mgEFG,{["mgG030.xsd",
+ "mgG029.xsd",
+ "mgG028.xsd",
+ "mgG027.xsd",
+ "mgG025.xsd",
+ "mgG002.xsd",
+ "mgF023.xsd",
+ "mgF022.xsd",
+ "mgF021.xsd",
+ "mgF020.xsd",
+ "mgF003.xsd",
+ "mgEb002.xsd",
+ "mgEa005.xsd",
+ "mgEa004.xsd",
+ "mgEa002.xsd",
+ "mgE012.xsd",
+ "mgE011.xsd",
+ "mgE010.xsd",
+ "mgE008.xsd",
+ "mgE007.xsd",
+ "mgE006.xsd",
+ "mgE005.xsd",
+ "mgE004.xsd",
+ "mgE003.xsd",
+ "mgE002.xsd",
+ "mgG026.xml",
+ "mgG023.xml",
+ "mgG013.xml",
+ "mgF019.xml",
+ "mgF016.xml",
+ "mgF015.xml",
+ "mgF014.xml",
+ "mgF013.xml",
+ "mgF012.xml",
+ "mgF011.xml",
+ "mgF010.xml",
+ "mgF009.xml",
+ "mgEb005.xml",
+ "mgEb004.xml",
+ "mgE014.xml"],[]}}.
+{mgHIJ,{["mgJ030.xsd",
+ "mgJ029.xsd",
+ "mgJ028.xsd",
+ "mgJ027.xsd",
+ "mgJ025.xsd",
+ "mgJ002.xsd",
+ "mgI023.xsd",
+ "mgI022.xsd",
+ "mgI021.xsd",
+ "mgI020.xsd",
+ "mgI003.xsd",
+ "mgHb002.xsd",
+ "mgHa005.xsd",
+ "mgHa004.xsd",
+ "mgHa002.xsd",
+ "mgH012.xsd",
+ "mgH011.xsd",
+ "mgH008.xsd",
+ "mgH007.xsd",
+ "mgH006.xsd",
+ "mgH005.xsd",
+ "mgH004.xsd",
+ "mgH003.xsd",
+ "mgH002.xsd",
+ "mgJ026.xml",
+ "mgJ023.xml",
+ "mgJ013.xml",
+ "mgJ001.xml",
+ "mgHb005.xml",
+ "mgHb004.xml",
+ "mgH015.xml"],[]}}.
+{mgOP,{["mgP056.xsd",
+ "mgP055.xsd",
+ "mgP049.xsd",
+ "mgP042.xsd",
+ "mgP040.xsd",
+ "mgP039.xsd",
+ "mgO036.xsd",
+ "mgO029.xsd",
+ "mgO027.xsd",
+ "mgO019.xsd",
+ "mgO018.xsd",
+ "mgO015.xsd",
+ "mgO008.xsd",
+ "mgO003.xsd",
+ "mgO001.xsd",
+ "mgO038.xml",
+ "mgO037.xml",
+ "mgO036.xml",
+ "mgO035.xml",
+ "mgO034.xml",
+ "mgO031.xml",
+ "mgO029.xml",
+ "mgO017.xml",
+ "mgO016.xml",
+ "mgO015.xml",
+ "mgO014.xml",
+ "mgO013.xml",
+ "mgO011.xml",
+ "mgO010.xml",
+ "mgO009.xml",
+ "mgO008.xml",
+ "mgO007.xml",
+ "mgO006.xml",
+ "mgO005.xml"],[]}}.
+{mgQR,{["mgR022.xsd",
+ "mgR021.xsd",
+ "mgR020.xsd",
+ "mgR019.xsd",
+ "mgR018.xsd",
+ "mgR017.xsd",
+ "mgR016.xsd",
+ "mgR015.xsd",
+ "mgR014.xsd",
+ "mgR013.xsd",
+ "mgR012.xsd",
+ "mgR011.xsd",
+ "mgR010.xsd",
+ "mgR009.xsd",
+ "mgR008.xsd",
+ "mgR007.xsd",
+ "mgR006.xsd",
+ "mgR005.xsd",
+ "mgR004.xsd",
+ "mgR003.xsd",
+ "mgR002.xsd",
+ "mgR001.xsd",
+ "mgQ021.xsd",
+ "mgQ013.xsd",
+ "mgQ012.xsd",
+ "mgQ011.xsd",
+ "mgQ010.xsd",
+ "mgQ005.xsd",
+ "mgQ004.xsd",
+ "mgQ001.xsd",
+ "mgQ017.xml",
+ "mgQ016.xml",
+ "mgQ015.xml",
+ "mgQ014.xml",
+ "mgQ002.xml"],[]}}.
+{particlesAB,{["particlesB015.xml",
+ "particlesB010.xml",
+ "particlesA013.xml",
+ "particlesA011.xml",
+ "particlesA010.xml",
+ "particlesA009.xml",
+ "particlesA007.xml",
+ "particlesA006.xml",
+ "particlesA005.xml"],[]}}.
+{particlesCDE,{["particlesEd001.xsd",
+ "particlesEc009.xsd",
+ "particlesEb015.xsd",
+ "particlesEa025.xsd",
+ "particlesEa024.xsd",
+ "particlesEa023.xsd",
+ "particlesEa022.xsd",
+ "particlesEc039.xml",
+ "particlesEc038.xml",
+ "particlesEc023.xml",
+ "particlesEc022.xml",
+ "particlesEc013.xml",
+ "particlesEc010.xml",
+ "particlesEc007.xml",
+ "particlesEc003.xml",
+ "particlesEb030.xml",
+ "particlesEb022.xml",
+ "particlesEb016.xml",
+ "particlesEb013.xml",
+ "particlesEb006.xml",
+ "particlesEa018.xml",
+ "particlesEa017.xml",
+ "particlesEa011.xml",
+ "particlesEa010.xml",
+ "particlesEa004.xml",
+ "particlesEa003.xml",
+ "particlesC039.xml",
+ "particlesC036.xml",
+ "particlesC035.xml",
+ "particlesC032.xml",
+ "particlesC031.xml",
+ "particlesC025.xml",
+ "particlesC024.xml",
+ "particlesC023.xml",
+ "particlesC022.xml",
+ "particlesC020.xml",
+ "particlesC019.xml",
+ "particlesC018.xml",
+ "particlesC017.xml",
+ "particlesC014.xml",
+ "particlesC013.xml",
+ "particlesC012.xml",
+ "particlesC010.xml",
+ "particlesC009.xml"],[]}}.
+{particlesFHI,{["particlesIk026.xsd",
+ "particlesIk024.xsd",
+ "particlesIk021.xsd",
+ "particlesIk019.xsd",
+ "particlesIk012.xsd",
+ "particlesIk007.xsd",
+ "particlesIk006.xsd",
+ "particlesIk005.xsd",
+ "particlesIk003.xsd",
+ "particlesIk002.xsd",
+ "particlesIj016.xsd",
+ "particlesIj015.xsd",
+ "particlesIj013.xsd",
+ "particlesIj011.xsd",
+ "particlesIj010.xsd",
+ "particlesIj009.xsd",
+ "particlesIj008.xsd",
+ "particlesIj007.xsd",
+ "particlesIj004.xsd",
+ "particlesIj003.xsd",
+ "particlesIh001.xsd",
+ "particlesIg016.xsd",
+ "particlesIg013.xsd",
+ "particlesIg010.xsd",
+ "particlesIg009.xsd",
+ "particlesIg008.xsd",
+ "particlesIg007.xsd",
+ "particlesIg004.xsd",
+ "particlesIf009.xsd",
+ "particlesIf008.xsd",
+ "particlesIf007.xsd",
+ "particlesIe014.xsd",
+ "particlesIe012.xsd",
+ "particlesIe009.xsd",
+ "particlesIe006.xsd",
+ "particlesId012.xsd",
+ "particlesId006.xsd",
+ "particlesId003.xsd",
+ "particlesIb007.xsd",
+ "particlesIb006.xsd",
+ "particlesIa008.xsd",
+ "particlesIa006.xsd",
+ "particlesHb011.xsd",
+ "particlesHb008.xsd",
+ "particlesHb004.xsd",
+ "particlesHb003.xsd",
+ "particlesHb001.xsd",
+ "particlesHa008.xsd",
+ "particlesHa007.xsd",
+ "particlesHa006.xsd",
+ "particlesHa005.xsd",
+ "particlesHa004.xsd",
+ "particlesHa003.xsd",
+ "particlesHa002.xsd",
+ "particlesFb006.xsd",
+ "particlesFb005.xsd",
+ "particlesFb004.xsd",
+ "particlesFb003.xsd",
+ "particlesFb002.xsd",
+ "particlesIk026.xml",
+ "particlesIk012.xml",
+ "particlesIf006.xml",
+ "particlesIf001.xml",
+ "particlesIe004.xml",
+ "particlesHa007.xml",
+ "particlesHa006.xml",
+ "particlesHa005.xml",
+ "particlesHa004.xml",
+ "particlesHa003.xml",
+ "particlesHa002.xml",
+ "particlesFb004.xml",
+ "particlesFb001.xml",
+ "particlesFa001.xml"],[]}}.
+{particlesJ,{["particlesJk015.xsd",
+ "particlesJk013.xsd",
+ "particlesJk011.xsd",
+ "particlesJk010.xsd",
+ "particlesJk008.xsd",
+ "particlesJk007.xsd",
+ "particlesJk005.xsd",
+ "particlesJk004.xsd",
+ "particlesJk003.xsd",
+ "particlesJk002.xsd",
+ "particlesJk001.xsd",
+ "particlesJj011.xsd",
+ "particlesJj010.xsd",
+ "particlesJj009.xsd",
+ "particlesJj008.xsd",
+ "particlesJj007.xsd",
+ "particlesJj005.xsd",
+ "particlesJj004.xsd",
+ "particlesJj002.xsd",
+ "particlesJj001.xsd",
+ "particlesJf016.xsd",
+ "particlesJf015.xsd",
+ "particlesJf013.xsd",
+ "particlesJf011.xsd",
+ "particlesJf010.xsd",
+ "particlesJf008.xsd",
+ "particlesJf007.xsd",
+ "particlesJf005.xsd",
+ "particlesJf004.xsd",
+ "particlesJf003.xsd",
+ "particlesJf002.xsd",
+ "particlesJf001.xsd",
+ "particlesJe011.xsd",
+ "particlesJe010.xsd",
+ "particlesJe009.xsd",
+ "particlesJe008.xsd",
+ "particlesJe007.xsd",
+ "particlesJe005.xsd",
+ "particlesJe004.xsd",
+ "particlesJe002.xsd",
+ "particlesJe001.xsd",
+ "particlesJd016.xsd",
+ "particlesJd015.xsd",
+ "particlesJd013.xsd",
+ "particlesJd011.xsd",
+ "particlesJd010.xsd",
+ "particlesJd008.xsd",
+ "particlesJd007.xsd",
+ "particlesJd005.xsd",
+ "particlesJd004.xsd",
+ "particlesJd003.xsd",
+ "particlesJd002.xsd",
+ "particlesJd001.xsd",
+ "particlesJc011.xsd",
+ "particlesJc010.xsd",
+ "particlesJc009.xsd",
+ "particlesJc008.xsd",
+ "particlesJc007.xsd",
+ "particlesJc005.xsd",
+ "particlesJc004.xsd",
+ "particlesJc002.xsd",
+ "particlesJc001.xsd",
+ "particlesJb016.xsd",
+ "particlesJb015.xsd",
+ "particlesJb013.xsd",
+ "particlesJb011.xsd",
+ "particlesJb010.xsd",
+ "particlesJb008.xsd",
+ "particlesJb007.xsd",
+ "particlesJb005.xsd",
+ "particlesJb004.xsd",
+ "particlesJb003.xsd",
+ "particlesJb002.xsd",
+ "particlesJb001.xsd",
+ "particlesJa011.xsd",
+ "particlesJa010.xsd",
+ "particlesJa009.xsd",
+ "particlesJa008.xsd",
+ "particlesJa007.xsd",
+ "particlesJa005.xsd",
+ "particlesJa004.xsd",
+ "particlesJa002.xsd",
+ "particlesJa001.xsd",
+ "particlesJk015.xml",
+ "particlesJk013.xml",
+ "particlesJk011.xml",
+ "particlesJk010.xml",
+ "particlesJk008.xml",
+ "particlesJk007.xml",
+ "particlesJk005.xml",
+ "particlesJk004.xml",
+ "particlesJk003.xml",
+ "particlesJk002.xml",
+ "particlesJk001.xml",
+ "particlesJj011.xml",
+ "particlesJj010.xml",
+ "particlesJj009.xml",
+ "particlesJj008.xml",
+ "particlesJj007.xml",
+ "particlesJj005.xml",
+ "particlesJj004.xml",
+ "particlesJj002.xml",
+ "particlesJj001.xml",
+ "particlesJf016.xml",
+ "particlesJf015.xml",
+ "particlesJf013.xml",
+ "particlesJf011.xml",
+ "particlesJf010.xml",
+ "particlesJf008.xml",
+ "particlesJf007.xml",
+ "particlesJf005.xml",
+ "particlesJf004.xml",
+ "particlesJf003.xml",
+ "particlesJf002.xml",
+ "particlesJf001.xml",
+ "particlesJe011.xml",
+ "particlesJe010.xml",
+ "particlesJe009.xml",
+ "particlesJe008.xml",
+ "particlesJe007.xml",
+ "particlesJe005.xml",
+ "particlesJe004.xml",
+ "particlesJe002.xml",
+ "particlesJe001.xml",
+ "particlesJd016.xml",
+ "particlesJd015.xml",
+ "particlesJd013.xml",
+ "particlesJd011.xml",
+ "particlesJd010.xml",
+ "particlesJd008.xml",
+ "particlesJd007.xml",
+ "particlesJd005.xml",
+ "particlesJd004.xml",
+ "particlesJd003.xml",
+ "particlesJd002.xml",
+ "particlesJd001.xml",
+ "particlesJc011.xml",
+ "particlesJc010.xml",
+ "particlesJc009.xml",
+ "particlesJc008.xml",
+ "particlesJc007.xml",
+ "particlesJc005.xml",
+ "particlesJc004.xml",
+ "particlesJc002.xml",
+ "particlesJc001.xml",
+ "particlesJb016.xml",
+ "particlesJb015.xml",
+ "particlesJb013.xml",
+ "particlesJb011.xml",
+ "particlesJb010.xml",
+ "particlesJb008.xml",
+ "particlesJb007.xml",
+ "particlesJb005.xml",
+ "particlesJb004.xml",
+ "particlesJb003.xml",
+ "particlesJb002.xml",
+ "particlesJb001.xml",
+ "particlesJa011.xml",
+ "particlesJa010.xml",
+ "particlesJa009.xml",
+ "particlesJa008.xml",
+ "particlesJa007.xml",
+ "particlesJa005.xml",
+ "particlesJa004.xml",
+ "particlesJa002.xml",
+ "particlesJa001.xml"],[]}}.
+{particlesKOSRTQUVW,{["particlesW015.xsd",
+ "particlesW013.xsd",
+ "particlesW010.xsd",
+ "particlesW007.xsd",
+ "particlesW005.xsd",
+ "particlesW002.xsd",
+ "particlesV015.xsd",
+ "particlesV014.xsd",
+ "particlesV013.xsd",
+ "particlesV012.xsd",
+ "particlesV011.xsd",
+ "particlesV010.xsd",
+ "particlesV009.xsd",
+ "particlesV008.xsd",
+ "particlesV007.xsd",
+ "particlesV006.xsd",
+ "particlesV003.xsd",
+ "particlesU007.xsd",
+ "particlesU005.xsd",
+ "particlesU004.xsd",
+ "particlesU003.xsd",
+ "particlesQ031.xsd",
+ "particlesQ028.xsd",
+ "particlesQ027.xsd",
+ "particlesQ026.xsd",
+ "particlesQ025.xsd",
+ "particlesQ023.xsd",
+ "particlesQ021.xsd",
+ "particlesQ018.xsd",
+ "particlesQ012.xsd",
+ "particlesQ008.xsd",
+ "particlesQ006.xsd",
+ "particlesT011.xsd",
+ "particlesT010.xsd",
+ "particlesT009.xsd",
+ "particlesT008.xsd",
+ "particlesT002.xsd",
+ "particlesR031.xsd",
+ "particlesR028.xsd",
+ "particlesR027.xsd",
+ "particlesR026.xsd",
+ "particlesR025.xsd",
+ "particlesR023.xsd",
+ "particlesR021.xsd",
+ "particlesR018.xsd",
+ "particlesR014.xsd",
+ "particlesR010.xsd",
+ "particlesR006.xsd",
+ "particlesS011.xsd",
+ "particlesS007.xsd",
+ "particlesS004.xsd",
+ "particlesS003.xsd",
+ "particlesS001.xsd",
+ "particlesOb058.xsd",
+ "particlesOb051.xsd",
+ "particlesOb050.xsd",
+ "particlesOb049.xsd",
+ "particlesOb046.xsd",
+ "particlesOb045.xsd",
+ "particlesOb044.xsd",
+ "particlesOb043.xsd",
+ "particlesOb041.xsd",
+ "particlesOb040.xsd",
+ "particlesOb039.xsd",
+ "particlesOb038.xsd",
+ "particlesOb037.xsd",
+ "particlesOb036.xsd",
+ "particlesOb035.xsd",
+ "particlesOb034.xsd",
+ "particlesOb033.xsd",
+ "particlesOb031.xsd",
+ "particlesOb030.xsd",
+ "particlesOb029.xsd",
+ "particlesOb028.xsd",
+ "particlesOb027.xsd",
+ "particlesOb026.xsd",
+ "particlesOb025.xsd",
+ "particlesOb024.xsd",
+ "particlesOb023.xsd",
+ "particlesOb021.xsd",
+ "particlesOb020.xsd",
+ "particlesOb019.xsd",
+ "particlesOb017.xsd",
+ "particlesOb016.xsd",
+ "particlesOb014.xsd",
+ "particlesOb011.xsd",
+ "particlesOb010.xsd",
+ "particlesOa015.xsd",
+ "particlesOa010.xsd",
+ "particlesOa009.xsd",
+ "particlesOa008.xsd",
+ "particlesOa007.xsd",
+ "particlesOa005.xsd",
+ "particlesOa004.xsd",
+ "particlesOa002.xsd",
+ "particlesK008.xsd",
+ "particlesK005.xsd",
+ "particlesK003.xsd",
+ "particlesK002.xsd",
+ "particlesK001.xsd",
+ "particlesV015.xml",
+ "particlesV014.xml",
+ "particlesV013.xml",
+ "particlesV012.xml",
+ "particlesV011.xml",
+ "particlesV010.xml",
+ "particlesV009.xml",
+ "particlesV008.xml",
+ "particlesV007.xml",
+ "particlesV006.xml",
+ "particlesV003.xml",
+ "particlesU007.xml",
+ "particlesU005.xml",
+ "particlesU004.xml",
+ "particlesU003.xml",
+ "particlesQ022.xml",
+ "particlesQ020.xml",
+ "particlesQ019.xml",
+ "particlesQ017.xml",
+ "particlesQ016.xml",
+ "particlesT003.xml",
+ "particlesR022.xml",
+ "particlesR019.xml",
+ "particlesR016.xml",
+ "particlesR011.xml",
+ "particlesS011.xml",
+ "particlesS007.xml",
+ "particlesS004.xml",
+ "particlesS003.xml",
+ "particlesS001.xml",
+ "particlesOb055.xml",
+ "particlesOb042.xml",
+ "particlesOb007.xml",
+ "particlesOb003.xml",
+ "particlesOa014.xml",
+ "particlesOa013.xml",
+ "particlesOa012.xml",
+ "particlesOa011.xml",
+ "particlesK008.xml",
+ "particlesK005.xml",
+ "particlesK003.xml",
+ "particlesK002.xml",
+ "particlesK001.xml"],[]}}.
+{stABCDE,{["stE016.xsd",
+ "stE015.xsd",
+ "stE014.xsd",
+ "stE012.xsd",
+ "stE008.xsd",
+ "stE005.xsd",
+ "stE004.xsd",
+ "stE003.xsd",
+ "stE002.xsd",
+ "stD020.xsd",
+ "stD018.xsd",
+ "stD017.xsd",
+ "stD016.xsd",
+ "stD010.xsd",
+ "stD009.xsd",
+ "stD005.xsd",
+ "stD004.xsd",
+ "stD003.xsd",
+ "stD002.xsd",
+ "stC032.xsd",
+ "stC029.xsd",
+ "stC009.xsd",
+ "stC008.xsd",
+ "stC007.xsd",
+ "stC006.xsd",
+ "stC003.xsd",
+ "stB024.xsd",
+ "stB023.xsd",
+ "stB022.xsd",
+ "stB021.xsd",
+ "stB020.xsd",
+ "stB019.xsd",
+ "stB018.xsd",
+ "stB017.xsd",
+ "stB016.xsd",
+ "stB014.xsd",
+ "stB013.xsd",
+ "stB010.xsd",
+ "stB009.xsd",
+ "stB004.xsd",
+ "stB002.xsd",
+ "stB001.xsd",
+ "stA017.xsd",
+ "stA015.xsd",
+ "stA014.xsd",
+ "stA013.xsd",
+ "stA012.xsd",
+ "stA011.xsd",
+ "stA010.xsd",
+ "stA009.xsd",
+ "stA008.xsd",
+ "stA005.xsd",
+ "stA004.xsd",
+ "stA003.xsd",
+ "stA002.xsd"],[]}}.
+{stFGH,{["stH002.xsd",
+ "stH001.xsd",
+ "stG005.xsd",
+ "stG004.xsd",
+ "stF037.xsd",
+ "stF035.xsd",
+ "stF033.xsd",
+ "stF031.xsd",
+ "stF027.xsd",
+ "stF026.xsd",
+ "stF025.xsd",
+ "stF024.xsd",
+ "stF020.xsd",
+ "stF019.xsd",
+ "stF018.xsd",
+ "stF012.xsd",
+ "stF011.xsd",
+ "stF010.xsd",
+ "stF009.xsd",
+ "stH007.xml",
+ "stH005.xml",
+ "stH003.xml",
+ "stH001.xml",
+ "stG012.xml",
+ "stG010.xml",
+ "stG008.xml",
+ "stG006.xml",
+ "stG004.xml",
+ "stG002.xml",
+ "stG001.xml"],[]}}.
+{stIJK,{["stK014.xsd",
+ "stK013.xsd",
+ "stK012.xsd",
+ "stK011.xsd",
+ "stK007.xsd",
+ "stK006.xsd",
+ "stK005.xsd",
+ "stJ019.xsd",
+ "stJ013.xsd",
+ "stJ012.xsd",
+ "stJ011.xsd",
+ "stJ010.xsd",
+ "stJ002.xsd",
+ "stI005.xsd"],[]}}.
+{stZ,{["stZ003.xsd","stZ002.xsd"],[]}}.
+{wildABCDEF,{["wildF018.xsd",
+ "wildF016.xsd",
+ "wildF015.xsd",
+ "wildF014.xsd",
+ "wildF013.xsd",
+ "wildF012.xsd",
+ "wildF010.xsd",
+ "wildF009.xsd",
+ "wildF008.xsd",
+ "wildF007.xsd",
+ "wildF006.xsd",
+ "wildE002.xsd",
+ "wildD079.xsd",
+ "wildD078.xsd",
+ "wildD077.xsd",
+ "wildD076.xsd",
+ "wildD075.xsd",
+ "wildD071.xsd",
+ "wildC067.xsd",
+ "wildC066.xsd",
+ "wildC058.xsd",
+ "wildC057.xsd",
+ "wildC056.xsd",
+ "wildC055.xsd",
+ "wildC053.xsd",
+ "wildC052.xsd",
+ "wildC051.xsd",
+ "wildC050.xsd",
+ "wildC049.xsd",
+ "wildC036.xsd",
+ "wildC035.xsd",
+ "wildB028.xsd",
+ "wildB027.xsd",
+ "wildB023.xsd",
+ "wildB020.xsd",
+ "wildB015.xsd",
+ "wildA008.xsd",
+ "wildA007.xsd",
+ "wildA006.xsd",
+ "wildA005.xsd",
+ "wildA004.xsd",
+ "wildA003.xsd"],[]}}.
+{wildGHI,{["wildI013.xsd",
+ "wildI010.xsd",
+ "wildI009.xsd",
+ "wildI008.xsd",
+ "wildI003.xsd",
+ "wildI002.xsd",
+ "wildI007.xml",
+ "wildH006.xml",
+ "wildH002.xml",
+ "wildG039.xml",
+ "wildG037.xml",
+ "wildG036.xml",
+ "wildG032.xml",
+ "wildG029.xml",
+ "wildG028.xml",
+ "wildG024.xml",
+ "wildG022.xml",
+ "wildG020.xml",
+ "wildG019.xml",
+ "wildG014.xml",
+ "wildG013.xml",
+ "wildG011.xml",
+ "wildG010.xml",
+ "wildG009.xml",
+ "wildG008.xml",
+ "wildG005.xml",
+ "wildG004.xml",
+ "wildG002.xml"],[]}}.
+{wildJKLMNQOP,{["wildQ004.xsd",
+ "wildQ003.xsd",
+ "wildQ002.xsd",
+ "wildQ001.xsd",
+ "wildN018.xsd",
+ "wildN016.xsd",
+ "wildN015.xsd",
+ "wildN014.xsd",
+ "wildN013.xsd",
+ "wildN012.xsd",
+ "wildN010.xsd",
+ "wildN009.xsd",
+ "wildN008.xsd",
+ "wildN007.xsd",
+ "wildN006.xsd",
+ "wildN001.xsd",
+ "wildM002.xsd",
+ "wildL009.xsd",
+ "wildL008.xsd",
+ "wildL007.xsd",
+ "wildL006.xsd",
+ "wildL005.xsd",
+ "wildL001.xsd",
+ "wildK038.xsd",
+ "wildK029.xsd",
+ "wildK028.xsd",
+ "wildK027.xsd",
+ "wildK026.xsd",
+ "wildK024.xsd",
+ "wildK023.xsd",
+ "wildK022.xsd",
+ "wildK021.xsd",
+ "wildK020.xsd",
+ "wildK007.xsd",
+ "wildK006.xsd",
+ "wildK002.xsd",
+ "wildJ008.xsd",
+ "wildJ007.xsd",
+ "wildJ006.xsd",
+ "wildJ005.xsd",
+ "wildJ004.xsd",
+ "wildJ003.xsd",
+ "wildP006.xml",
+ "wildP005.xml",
+ "wildP004.xml",
+ "wildP003.xml",
+ "wildP001.xml",
+ "wildO040.xml",
+ "wildO038.xml",
+ "wildO037.xml",
+ "wildO033.xml",
+ "wildO031.xml",
+ "wildO030.xml",
+ "wildO027.xml",
+ "wildO026.xml",
+ "wildO023.xml",
+ "wildO021.xml",
+ "wildO020.xml",
+ "wildO018.xml",
+ "wildO013.xml",
+ "wildO012.xml",
+ "wildO010.xml",
+ "wildO007.xml",
+ "wildO006.xml",
+ "wildO004.xml"],[]}}.
diff --git a/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msxsdtest.tar.gz b/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msxsdtest.tar.gz
new file mode 100644
index 0000000000..05f9dfe08f
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_MS2002-01-16_SUITE_data/msxsdtest.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE.erl b/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE.erl
new file mode 100644
index 0000000000..daebf1393d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE.erl
@@ -0,0 +1,15962 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2006-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%% Purpose : Test suite for the xmerl application
+
+%% Do NOT edit this file. It is generated by the generate_xsd_suite module
+%% For more info read the comments in the header of that file.
+
+-module('xmerl_xsd_NIST2002-01-16_SUITE').
+
+-compile(export_all).
+
+-include_lib("test_server/include/test_server.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+-include_lib("xmerl/include/xmerl_xsd.hrl").
+
+
+all() ->
+ ['NISTSchema-anyURI', 'NISTSchema-base64Binary',
+ 'NISTSchema-boolean', 'NISTSchema-byte',
+ 'NISTSchema-date-', 'NISTSchema-dateTime',
+ 'NISTSchema-decimal', 'NISTSchema-double',
+ 'NISTSchema-duration', 'NISTSchema-float',
+ 'NISTSchema-gDay', 'NISTSchema-gMonth-',
+ 'NISTSchema-gMonthDay', 'NISTSchema-gYear-',
+ 'NISTSchema-gYearMonth', 'NISTSchema-hexBinary',
+ 'NISTSchema-ID', 'NISTSchema-int-',
+ 'NISTSchema-integer', 'NISTSchema-language',
+ 'NISTSchema-long', 'NISTSchema-Name',
+ 'NISTSchema-NCName', 'NISTSchema-negativeInteger',
+ 'NISTSchema-NMTOKEN', 'NISTSchema-nonNegativeInteger',
+ 'NISTSchema-nonPositiveInteger',
+ 'NISTSchema-normalizedString',
+ 'NISTSchema-positiveInteger', 'NISTSchema-QName',
+ 'NISTSchema-short', 'NISTSchema-string',
+ 'NISTSchema-time', 'NISTSchema-token',
+ 'NISTSchema-unsignedByte', 'NISTSchema-unsignedInt',
+ 'NISTSchema-unsignedLong', 'NISTSchema-unsignedShort'].
+
+groups() ->
+ [].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
+
+%% initialization before the test suite
+init_per_suite(Config) ->
+ Dog=test_server:timetrap({minutes,10}),
+ xmerl_xsd_lib:unpack(Config,nist),
+ {ok,LogFile} = xmerl_xsd_lib:create_error_log_file(Config,nist),
+ test_server:timetrap_cancel(Dog),
+ [{suite,nist},{xmerl_error_log,LogFile}|Config].
+
+end_per_suite(Config) ->
+ xmerl_xsd_lib:rmdir(Config,nist),
+ xmerl_xsd_lib:close_error_log_file(Config),
+ ok.
+
+%% initialization before each testcase
+init_per_testcase(TestCase,Config) ->
+ Dog=test_server:timetrap({minutes,3}),
+ [{testcase,TestCase},{watchdog, Dog}|Config].
+
+%% clean up after each testcase
+end_per_testcase(_Func,Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ ok.
+
+%% Data type derived by restriction of anyURI by facets
+
+'NISTSchema-anyURI'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./NISTTestsAll/NISTSchema-anyURI-maxLength-1.xsd','./NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-anyURI-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-anyURI-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList129).
+
+%% Data type derived by restriction of base64binary by facets
+
+'NISTSchema-base64Binary'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-base64Binary-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-base64Binary-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList130).
+
+%% Data type derived by restriction of boolean by facets
+
+'NISTSchema-boolean'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-boolean-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-boolean-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-boolean-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-boolean-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-boolean-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-boolean-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList5,ITResList9).
+
+%% Data type derived by restriction of byte by facets
+
+'NISTSchema-byte'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-byte-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-byte-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList35,ITResList159).
+
+%% Data type derived by restriction of date by facets
+
+'NISTSchema-date-'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-date-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-date-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of dateTime by facets
+
+'NISTSchema-dateTime'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-dateTime-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-dateTime-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of decimal by facets
+
+'NISTSchema-decimal'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-fractionDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-fractionDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-fractionDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-fractionDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-fractionDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ ?line {STRes37,S37} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList38 = [STRes37|STResList37],
+ ?line ITRes169 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S37),
+ ITResList170 = [ITRes169|ITResList169],
+ ?line ITRes170 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S37),
+ ITResList171 = [ITRes170|ITResList170],
+ ?line ITRes171 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S37),
+ ITResList172 = [ITRes171|ITResList171],
+ ?line ITRes172 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S37),
+ ITResList173 = [ITRes172|ITResList172],
+ ?line ITRes173 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S37),
+ ITResList174 = [ITRes173|ITResList173],
+
+
+ ?line {STRes38,S38} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList39 = [STRes38|STResList38],
+ ?line ITRes174 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S38),
+ ITResList175 = [ITRes174|ITResList174],
+ ?line ITRes175 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S38),
+ ITResList176 = [ITRes175|ITResList175],
+ ?line ITRes176 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S38),
+ ITResList177 = [ITRes176|ITResList176],
+ ?line ITRes177 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S38),
+ ITResList178 = [ITRes177|ITResList177],
+ ?line ITRes178 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S38),
+ ITResList179 = [ITRes178|ITResList178],
+
+
+ ?line {STRes39,S39} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList40 = [STRes39|STResList39],
+ ?line ITRes179 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S39),
+ ITResList180 = [ITRes179|ITResList179],
+ ?line ITRes180 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S39),
+ ITResList181 = [ITRes180|ITResList180],
+ ?line ITRes181 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S39),
+ ITResList182 = [ITRes181|ITResList181],
+ ?line ITRes182 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S39),
+ ITResList183 = [ITRes182|ITResList182],
+ ?line ITRes183 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S39),
+ ITResList184 = [ITRes183|ITResList183],
+
+
+ ?line {STRes40,S40} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-decimal-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList41 = [STRes40|STResList40],
+ ?line ITRes184 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S40),
+ ITResList185 = [ITRes184|ITResList184],
+ ?line ITRes185 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S40),
+ ITResList186 = [ITRes185|ITResList185],
+ ?line ITRes186 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S40),
+ ITResList187 = [ITRes186|ITResList186],
+ ?line ITRes187 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S40),
+ ITResList188 = [ITRes187|ITResList187],
+ ?line ITRes188 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-decimal-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S40),
+ ITResList189 = [ITRes188|ITResList188],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList41,ITResList189).
+
+%% Data type derived by restriction of double by facets
+
+'NISTSchema-double'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-double-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-double-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of duration by facets
+
+'NISTSchema-duration'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-duration-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-duration-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of float by facets
+
+'NISTSchema-float'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-float-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-float-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of gDay by facets
+
+'NISTSchema-gDay'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gDay-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList134 = [ITRes133|ITResList133],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gDay-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList135).
+
+%% Data type derived by restriction of gMonth by facets
+
+'NISTSchema-gMonth-'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonth-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonth-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of gMonthDay by facets
+
+'NISTSchema-gMonthDay'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gMonthDay-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gMonthDay-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of gYear by facets
+
+'NISTSchema-gYear-'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYear-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList134 = [ITRes133|ITResList133],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYear-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList135).
+
+%% Data type derived by restriction of gYearMonth by facets
+
+'NISTSchema-gYearMonth'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-gYearMonth-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-gYearMonth-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of hexBinary by facets
+
+'NISTSchema-hexBinary'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-hexBinary-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-hexBinary-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList130).
+
+%% Data type derived by restriction of ID by facets
+
+'NISTSchema-ID'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-ID-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-ID-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList130).
+
+%% Data type derived by restriction of int by facets
+
+'NISTSchema-int-'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-int-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-int-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of integer by facets
+
+'NISTSchema-integer'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-integer-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-integer-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of language by facets
+
+'NISTSchema-language'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList15 = [ITRes14|ITResList14],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList20 = [ITRes19|ITResList19],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-language-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-language-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList126).
+
+%% Data type derived by restriction of long by facets
+
+'NISTSchema-long'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-long-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-long-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of Name by facets
+
+'NISTSchema-Name'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-Name-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-Name-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList130).
+
+%% Data type derived by restriction of NCName by facets
+
+'NISTSchema-NCName'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NCName-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NCName-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList130).
+
+%% Data type derived by restriction of negativeInteger by facets
+
+'NISTSchema-negativeInteger'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-negativeInteger-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-negativeInteger-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of NMTOKEN by facets
+
+'NISTSchema-NMTOKEN'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-NMTOKEN-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-NMTOKEN-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList128).
+
+%% Data type derived by restriction of nonNegativeInteger by facets
+
+'NISTSchema-nonNegativeInteger'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonNegativeInteger-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonNegativeInteger-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of nonPositiveInteger by facets
+
+'NISTSchema-nonPositiveInteger'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-nonPositiveInteger-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-nonPositiveInteger-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of normalizedString by facets
+
+'NISTSchema-normalizedString'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList15 = [ITRes14|ITResList14],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList20 = [ITRes19|ITResList19],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-normalizedString-whiteSpace-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-normalizedString-whiteSpace-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList27,ITResList127).
+
+%% Data type derived by restriction of positiveInteger by facets
+
+'NISTSchema-positiveInteger'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-positiveInteger-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-positiveInteger-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of QName by facets
+
+'NISTSchema-QName'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList25 = [ITRes24|ITResList24],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList30 = [ITRes29|ITResList29],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList35 = [ITRes34|ITResList34],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList40 = [ITRes39|ITResList39],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList45 = [ITRes44|ITResList44],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList50 = [ITRes49|ITResList49],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-1-2.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-1-3.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-1-4.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-1-5.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList55 = [ITRes54|ITResList54],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList60 = [ITRes59|ITResList59],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList65 = [ITRes64|ITResList64],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList70 = [ITRes69|ITResList69],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList75 = [ITRes74|ITResList74],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList80 = [ITRes79|ITResList79],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList85 = [ITRes84|ITResList84],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList90 = [ITRes89|ITResList89],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList95 = [ITRes94|ITResList94],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList100 = [ITRes99|ITResList99],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList105 = [ITRes104|ITResList104],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList110 = [ITRes109|ITResList109],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList115 = [ITRes114|ITResList114],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList120 = [ITRes119|ITResList119],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList125 = [ITRes124|ITResList124],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-QName-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-QName-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList130 = [ITRes129|ITResList129],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList130).
+
+%% Data type derived by restriction of short by facets
+
+'NISTSchema-short'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-short-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-short-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of string by facets
+
+'NISTSchema-string'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList15 = [ITRes14|ITResList14],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList20 = [ITRes19|ITResList19],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-whiteSpace-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList124 = [ITRes123|ITResList123],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList127 = [ITRes126|ITResList126],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-string-whiteSpace-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList129 = [ITRes128|ITResList128],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-string-whiteSpace-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList132 = [ITRes131|ITResList131],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList28,ITResList132).
+
+%% Data type derived by restriction of time by facets
+
+'NISTSchema-time'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-time-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-time-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList31,ITResList139).
+
+%% Data type derived by restriction of token by facets
+
+'NISTSchema-token'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-maxLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-maxLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-maxLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-maxLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList15 = [ITRes14|ITResList14],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-maxLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-5-2.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-5-3.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-5-4.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList20 = [ITRes19|ITResList19],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-maxLength-5-5.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-minLength-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-minLength-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-minLength-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-minLength-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-minLength-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-5-2.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList43 = [ITRes42|ITResList42],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-5-3.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-5-4.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-minLength-5-5.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList46 = [ITRes45|ITResList45],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-length-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList47 = [ITRes46|ITResList46],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-length-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList52 = [ITRes51|ITResList51],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-length-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList57 = [ITRes56|ITResList56],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-length-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList62 = [ITRes61|ITResList61],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-length-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList64 = [ITRes63|ITResList63],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-length-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList67 = [ITRes66|ITResList66],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList69 = [ITRes68|ITResList68],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList72 = [ITRes71|ITResList71],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList74 = [ITRes73|ITResList73],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList77 = [ITRes76|ITResList76],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList79 = [ITRes78|ITResList78],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList82 = [ITRes81|ITResList81],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList84 = [ITRes83|ITResList83],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList87 = [ITRes86|ITResList86],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList89 = [ITRes88|ITResList88],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList92 = [ITRes91|ITResList91],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList94 = [ITRes93|ITResList93],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList97 = [ITRes96|ITResList96],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList99 = [ITRes98|ITResList98],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList102 = [ITRes101|ITResList101],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList104 = [ITRes103|ITResList103],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList107 = [ITRes106|ITResList106],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList109 = [ITRes108|ITResList108],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList112 = [ITRes111|ITResList111],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList114 = [ITRes113|ITResList113],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList117 = [ITRes116|ITResList116],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-token-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList119 = [ITRes118|ITResList118],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-token-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList122 = [ITRes121|ITResList121],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList26,ITResList122).
+
+%% Data type derived by restriction of unsignedByte by facets
+
+'NISTSchema-unsignedByte'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedByte-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedByte-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList33,ITResList149).
+
+%% Data type derived by restriction of unsignedInt by facets
+
+'NISTSchema-unsignedInt'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedInt-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedInt-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of unsignedLong by facets
+
+'NISTSchema-unsignedLong'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedLong-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedLong-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
+%% Data type derived by restriction of unsignedShort by facets
+
+'NISTSchema-unsignedShort'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ ?line {STRes1,S1} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList2 = [STRes1|STResList1],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S1),
+ ITResList10 = [ITRes9|ITResList9],
+
+
+ ?line {STRes2,S2} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList3 = [STRes2|STResList2],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S2),
+ ITResList15 = [ITRes14|ITResList14],
+
+
+ ?line {STRes3,S3} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList4 = [STRes3|STResList3],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S3),
+ ITResList20 = [ITRes19|ITResList19],
+
+
+ ?line {STRes4,S4} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList5 = [STRes4|STResList4],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S4),
+ ITResList21 = [ITRes20|ITResList20],
+
+
+ ?line {STRes5,S5} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList6 = [STRes5|STResList5],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-1-2.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-1-3.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-1-4.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-1-5.xml','./nisttest/NISTTestsAll',valid,S5),
+ ITResList26 = [ITRes25|ITResList25],
+
+
+ ?line {STRes6,S6} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList7 = [STRes6|STResList6],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S6),
+ ITResList31 = [ITRes30|ITResList30],
+
+
+ ?line {STRes7,S7} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList8 = [STRes7|STResList7],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList34 = [ITRes33|ITResList33],
+ ?line ITRes34 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList35 = [ITRes34|ITResList34],
+ ?line ITRes35 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S7),
+ ITResList36 = [ITRes35|ITResList35],
+
+
+ ?line {STRes8,S8} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList9 = [STRes8|STResList8],
+ ?line ITRes36 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList37 = [ITRes36|ITResList36],
+ ?line ITRes37 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList38 = [ITRes37|ITResList37],
+ ?line ITRes38 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList39 = [ITRes38|ITResList38],
+ ?line ITRes39 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList40 = [ITRes39|ITResList39],
+ ?line ITRes40 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S8),
+ ITResList41 = [ITRes40|ITResList40],
+
+
+ ?line {STRes9,S9} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-minInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList10 = [STRes9|STResList9],
+ ?line ITRes41 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-minInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S9),
+ ITResList42 = [ITRes41|ITResList41],
+
+
+ ?line {STRes10,S10} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxExclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList11 = [STRes10|STResList10],
+ ?line ITRes42 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S10),
+ ITResList43 = [ITRes42|ITResList42],
+
+
+ ?line {STRes11,S11} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxExclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList12 = [STRes11|STResList11],
+ ?line ITRes43 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList44 = [ITRes43|ITResList43],
+ ?line ITRes44 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList45 = [ITRes44|ITResList44],
+ ?line ITRes45 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList46 = [ITRes45|ITResList45],
+ ?line ITRes46 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList47 = [ITRes46|ITResList46],
+ ?line ITRes47 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S11),
+ ITResList48 = [ITRes47|ITResList47],
+
+
+ ?line {STRes12,S12} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxExclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList13 = [STRes12|STResList12],
+ ?line ITRes48 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList49 = [ITRes48|ITResList48],
+ ?line ITRes49 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList50 = [ITRes49|ITResList49],
+ ?line ITRes50 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList51 = [ITRes50|ITResList50],
+ ?line ITRes51 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList52 = [ITRes51|ITResList51],
+ ?line ITRes52 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S12),
+ ITResList53 = [ITRes52|ITResList52],
+
+
+ ?line {STRes13,S13} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxExclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList14 = [STRes13|STResList13],
+ ?line ITRes53 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList54 = [ITRes53|ITResList53],
+ ?line ITRes54 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList55 = [ITRes54|ITResList54],
+ ?line ITRes55 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList56 = [ITRes55|ITResList55],
+ ?line ITRes56 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList57 = [ITRes56|ITResList56],
+ ?line ITRes57 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S13),
+ ITResList58 = [ITRes57|ITResList57],
+
+
+ ?line {STRes14,S14} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxExclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList15 = [STRes14|STResList14],
+ ?line ITRes58 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList59 = [ITRes58|ITResList58],
+ ?line ITRes59 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList60 = [ITRes59|ITResList59],
+ ?line ITRes60 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList61 = [ITRes60|ITResList60],
+ ?line ITRes61 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList62 = [ITRes61|ITResList61],
+ ?line ITRes62 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxExclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S14),
+ ITResList63 = [ITRes62|ITResList62],
+
+
+ ?line {STRes15,S15} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxInclusive-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList16 = [STRes15|STResList15],
+ ?line ITRes63 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-1-1.xml','./nisttest/NISTTestsAll',valid,S15),
+ ITResList64 = [ITRes63|ITResList63],
+
+
+ ?line {STRes16,S16} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxInclusive-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList17 = [STRes16|STResList16],
+ ?line ITRes64 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-2-1.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList65 = [ITRes64|ITResList64],
+ ?line ITRes65 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-2-2.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList66 = [ITRes65|ITResList65],
+ ?line ITRes66 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-2-3.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList67 = [ITRes66|ITResList66],
+ ?line ITRes67 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-2-4.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList68 = [ITRes67|ITResList67],
+ ?line ITRes68 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-2-5.xml','./nisttest/NISTTestsAll',valid,S16),
+ ITResList69 = [ITRes68|ITResList68],
+
+
+ ?line {STRes17,S17} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxInclusive-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList18 = [STRes17|STResList17],
+ ?line ITRes69 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-3-1.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList70 = [ITRes69|ITResList69],
+ ?line ITRes70 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-3-2.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList71 = [ITRes70|ITResList70],
+ ?line ITRes71 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-3-3.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList72 = [ITRes71|ITResList71],
+ ?line ITRes72 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-3-4.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList73 = [ITRes72|ITResList72],
+ ?line ITRes73 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-3-5.xml','./nisttest/NISTTestsAll',valid,S17),
+ ITResList74 = [ITRes73|ITResList73],
+
+
+ ?line {STRes18,S18} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxInclusive-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList19 = [STRes18|STResList18],
+ ?line ITRes74 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-4-1.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList75 = [ITRes74|ITResList74],
+ ?line ITRes75 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-4-2.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList76 = [ITRes75|ITResList75],
+ ?line ITRes76 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-4-3.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList77 = [ITRes76|ITResList76],
+ ?line ITRes77 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-4-4.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList78 = [ITRes77|ITResList77],
+ ?line ITRes78 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-4-5.xml','./nisttest/NISTTestsAll',valid,S18),
+ ITResList79 = [ITRes78|ITResList78],
+
+
+ ?line {STRes19,S19} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-maxInclusive-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList20 = [STRes19|STResList19],
+ ?line ITRes79 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-5-1.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList80 = [ITRes79|ITResList79],
+ ?line ITRes80 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-5-2.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList81 = [ITRes80|ITResList80],
+ ?line ITRes81 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-5-3.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList82 = [ITRes81|ITResList81],
+ ?line ITRes82 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-5-4.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList83 = [ITRes82|ITResList82],
+ ?line ITRes83 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-maxInclusive-5-5.xml','./nisttest/NISTTestsAll',valid,S19),
+ ITResList84 = [ITRes83|ITResList83],
+
+
+ ?line {STRes20,S20} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-fractionDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList21 = [STRes20|STResList20],
+ ?line ITRes84 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-fractionDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList85 = [ITRes84|ITResList84],
+ ?line ITRes85 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-fractionDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList86 = [ITRes85|ITResList85],
+ ?line ITRes86 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-fractionDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList87 = [ITRes86|ITResList86],
+ ?line ITRes87 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-fractionDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList88 = [ITRes87|ITResList87],
+ ?line ITRes88 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-fractionDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S20),
+ ITResList89 = [ITRes88|ITResList88],
+
+
+ ?line {STRes21,S21} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-totalDigits-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList22 = [STRes21|STResList21],
+ ?line ITRes89 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-1-1.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList90 = [ITRes89|ITResList89],
+ ?line ITRes90 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-1-2.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList91 = [ITRes90|ITResList90],
+ ?line ITRes91 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-1-3.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList92 = [ITRes91|ITResList91],
+ ?line ITRes92 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-1-4.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList93 = [ITRes92|ITResList92],
+ ?line ITRes93 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-1-5.xml','./nisttest/NISTTestsAll',valid,S21),
+ ITResList94 = [ITRes93|ITResList93],
+
+
+ ?line {STRes22,S22} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-totalDigits-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList23 = [STRes22|STResList22],
+ ?line ITRes94 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-2-1.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList95 = [ITRes94|ITResList94],
+ ?line ITRes95 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-2-2.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList96 = [ITRes95|ITResList95],
+ ?line ITRes96 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-2-3.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList97 = [ITRes96|ITResList96],
+ ?line ITRes97 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-2-4.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList98 = [ITRes97|ITResList97],
+ ?line ITRes98 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-2-5.xml','./nisttest/NISTTestsAll',valid,S22),
+ ITResList99 = [ITRes98|ITResList98],
+
+
+ ?line {STRes23,S23} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-totalDigits-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList24 = [STRes23|STResList23],
+ ?line ITRes99 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-3-1.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList100 = [ITRes99|ITResList99],
+ ?line ITRes100 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-3-2.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList101 = [ITRes100|ITResList100],
+ ?line ITRes101 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-3-3.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList102 = [ITRes101|ITResList101],
+ ?line ITRes102 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-3-4.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList103 = [ITRes102|ITResList102],
+ ?line ITRes103 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-3-5.xml','./nisttest/NISTTestsAll',valid,S23),
+ ITResList104 = [ITRes103|ITResList103],
+
+
+ ?line {STRes24,S24} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-totalDigits-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList25 = [STRes24|STResList24],
+ ?line ITRes104 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-4-1.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList105 = [ITRes104|ITResList104],
+ ?line ITRes105 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-4-2.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList106 = [ITRes105|ITResList105],
+ ?line ITRes106 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-4-3.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList107 = [ITRes106|ITResList106],
+ ?line ITRes107 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-4-4.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList108 = [ITRes107|ITResList107],
+ ?line ITRes108 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-4-5.xml','./nisttest/NISTTestsAll',valid,S24),
+ ITResList109 = [ITRes108|ITResList108],
+
+
+ ?line {STRes25,S25} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-totalDigits-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList26 = [STRes25|STResList25],
+ ?line ITRes109 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-5-1.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList110 = [ITRes109|ITResList109],
+ ?line ITRes110 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-5-2.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList111 = [ITRes110|ITResList110],
+ ?line ITRes111 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-5-3.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList112 = [ITRes111|ITResList111],
+ ?line ITRes112 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-5-4.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList113 = [ITRes112|ITResList112],
+ ?line ITRes113 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-totalDigits-5-5.xml','./nisttest/NISTTestsAll',valid,S25),
+ ITResList114 = [ITRes113|ITResList113],
+
+
+ ?line {STRes26,S26} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-pattern-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList27 = [STRes26|STResList26],
+ ?line ITRes114 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-1-1.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList115 = [ITRes114|ITResList114],
+ ?line ITRes115 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-1-2.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList116 = [ITRes115|ITResList115],
+ ?line ITRes116 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-1-3.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList117 = [ITRes116|ITResList116],
+ ?line ITRes117 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-1-4.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList118 = [ITRes117|ITResList117],
+ ?line ITRes118 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-1-5.xml','./nisttest/NISTTestsAll',valid,S26),
+ ITResList119 = [ITRes118|ITResList118],
+
+
+ ?line {STRes27,S27} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-pattern-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList28 = [STRes27|STResList27],
+ ?line ITRes119 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-2-1.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList120 = [ITRes119|ITResList119],
+ ?line ITRes120 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-2-2.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList121 = [ITRes120|ITResList120],
+ ?line ITRes121 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-2-3.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList122 = [ITRes121|ITResList121],
+ ?line ITRes122 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-2-4.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList123 = [ITRes122|ITResList122],
+ ?line ITRes123 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-2-5.xml','./nisttest/NISTTestsAll',valid,S27),
+ ITResList124 = [ITRes123|ITResList123],
+
+
+ ?line {STRes28,S28} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-pattern-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList29 = [STRes28|STResList28],
+ ?line ITRes124 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-3-1.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList125 = [ITRes124|ITResList124],
+ ?line ITRes125 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-3-2.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList126 = [ITRes125|ITResList125],
+ ?line ITRes126 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-3-3.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList127 = [ITRes126|ITResList126],
+ ?line ITRes127 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-3-4.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList128 = [ITRes127|ITResList127],
+ ?line ITRes128 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-3-5.xml','./nisttest/NISTTestsAll',valid,S28),
+ ITResList129 = [ITRes128|ITResList128],
+
+
+ ?line {STRes29,S29} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-pattern-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList30 = [STRes29|STResList29],
+ ?line ITRes129 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-4-1.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList130 = [ITRes129|ITResList129],
+ ?line ITRes130 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-4-2.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList131 = [ITRes130|ITResList130],
+ ?line ITRes131 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-4-3.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList132 = [ITRes131|ITResList131],
+ ?line ITRes132 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-4-4.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList133 = [ITRes132|ITResList132],
+ ?line ITRes133 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-4-5.xml','./nisttest/NISTTestsAll',valid,S29),
+ ITResList134 = [ITRes133|ITResList133],
+
+
+ ?line {STRes30,S30} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-pattern-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList31 = [STRes30|STResList30],
+ ?line ITRes134 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-5-1.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList135 = [ITRes134|ITResList134],
+ ?line ITRes135 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-5-2.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList136 = [ITRes135|ITResList135],
+ ?line ITRes136 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-5-3.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList137 = [ITRes136|ITResList136],
+ ?line ITRes137 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-5-4.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList138 = [ITRes137|ITResList137],
+ ?line ITRes138 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-pattern-5-5.xml','./nisttest/NISTTestsAll',valid,S30),
+ ITResList139 = [ITRes138|ITResList138],
+
+
+ ?line {STRes31,S31} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-enumeration-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList32 = [STRes31|STResList31],
+ ?line ITRes139 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-1-1.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList140 = [ITRes139|ITResList139],
+ ?line ITRes140 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-1-2.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList141 = [ITRes140|ITResList140],
+ ?line ITRes141 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-1-3.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList142 = [ITRes141|ITResList141],
+ ?line ITRes142 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-1-4.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList143 = [ITRes142|ITResList142],
+ ?line ITRes143 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-1-5.xml','./nisttest/NISTTestsAll',valid,S31),
+ ITResList144 = [ITRes143|ITResList143],
+
+
+ ?line {STRes32,S32} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-enumeration-2.xsd','./nisttest/NISTTestsAll',valid),
+ STResList33 = [STRes32|STResList32],
+ ?line ITRes144 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-2-1.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList145 = [ITRes144|ITResList144],
+ ?line ITRes145 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-2-2.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList146 = [ITRes145|ITResList145],
+ ?line ITRes146 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-2-3.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList147 = [ITRes146|ITResList146],
+ ?line ITRes147 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-2-4.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList148 = [ITRes147|ITResList147],
+ ?line ITRes148 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-2-5.xml','./nisttest/NISTTestsAll',valid,S32),
+ ITResList149 = [ITRes148|ITResList148],
+
+
+ ?line {STRes33,S33} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-enumeration-3.xsd','./nisttest/NISTTestsAll',valid),
+ STResList34 = [STRes33|STResList33],
+ ?line ITRes149 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-3-1.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList150 = [ITRes149|ITResList149],
+ ?line ITRes150 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-3-2.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList151 = [ITRes150|ITResList150],
+ ?line ITRes151 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-3-3.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList152 = [ITRes151|ITResList151],
+ ?line ITRes152 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-3-4.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList153 = [ITRes152|ITResList152],
+ ?line ITRes153 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-3-5.xml','./nisttest/NISTTestsAll',valid,S33),
+ ITResList154 = [ITRes153|ITResList153],
+
+
+ ?line {STRes34,S34} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-enumeration-4.xsd','./nisttest/NISTTestsAll',valid),
+ STResList35 = [STRes34|STResList34],
+ ?line ITRes154 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-4-1.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList155 = [ITRes154|ITResList154],
+ ?line ITRes155 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-4-2.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList156 = [ITRes155|ITResList155],
+ ?line ITRes156 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-4-3.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList157 = [ITRes156|ITResList156],
+ ?line ITRes157 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-4-4.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList158 = [ITRes157|ITResList157],
+ ?line ITRes158 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-4-5.xml','./nisttest/NISTTestsAll',valid,S34),
+ ITResList159 = [ITRes158|ITResList158],
+
+
+ ?line {STRes35,S35} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-enumeration-5.xsd','./nisttest/NISTTestsAll',valid),
+ STResList36 = [STRes35|STResList35],
+ ?line ITRes159 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-5-1.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList160 = [ITRes159|ITResList159],
+ ?line ITRes160 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-5-2.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList161 = [ITRes160|ITResList160],
+ ?line ITRes161 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-5-3.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList162 = [ITRes161|ITResList161],
+ ?line ITRes162 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-5-4.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList163 = [ITRes162|ITResList162],
+ ?line ITRes163 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-enumeration-5-5.xml','./nisttest/NISTTestsAll',valid,S35),
+ ITResList164 = [ITRes163|ITResList163],
+
+
+ ?line {STRes36,S36} = xmerl_xsd_lib:schema_test(Config,'./nisttest/NISTTestsAll/NISTSchema-unsignedShort-whiteSpace-1.xsd','./nisttest/NISTTestsAll',valid),
+ STResList37 = [STRes36|STResList36],
+ ?line ITRes164 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-whiteSpace-1-1.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList165 = [ITRes164|ITResList164],
+ ?line ITRes165 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-whiteSpace-1-2.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList166 = [ITRes165|ITResList165],
+ ?line ITRes166 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-whiteSpace-1-3.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList167 = [ITRes166|ITResList166],
+ ?line ITRes167 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-whiteSpace-1-4.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList168 = [ITRes167|ITResList167],
+ ?line ITRes168 = xmerl_xsd_lib:instance_test(Config,'./nisttest/NISTTestsAll/NISTXML-unsignedShort-whiteSpace-1-5.xml','./nisttest/NISTTestsAll',valid,S36),
+ ITResList169 = [ITRes168|ITResList168],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList37,ITResList169).
+
diff --git a/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nist_failed_cases.log b/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nist_failed_cases.log
new file mode 100644
index 0000000000..e69f74d174
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nist_failed_cases.log
@@ -0,0 +1,908 @@
+{'NISTSchema-anyURI',{["NISTXML-anyURI-maxLength-1-5.xml",
+ "NISTXML-anyURI-maxLength-1-4.xml",
+ "NISTXML-anyURI-maxLength-1-3.xml",
+ "NISTXML-anyURI-maxLength-1-2.xml",
+ "NISTXML-anyURI-maxLength-1-1.xml"],["NISTSchema-anyURI-maxLength-1.xsd"]}}.
+{'NISTSchema-base64Binary',{["NISTXML-base64Binary-length-5-5.xml",
+ "NISTXML-base64Binary-length-5-4.xml",
+ "NISTXML-base64Binary-length-5-3.xml",
+ "NISTXML-base64Binary-length-5-2.xml",
+ "NISTXML-base64Binary-length-5-1.xml",
+ "NISTXML-base64Binary-length-4-5.xml",
+ "NISTXML-base64Binary-length-4-4.xml",
+ "NISTXML-base64Binary-length-4-3.xml",
+ "NISTXML-base64Binary-length-4-2.xml",
+ "NISTXML-base64Binary-length-4-1.xml",
+ "NISTXML-base64Binary-length-3-5.xml",
+ "NISTXML-base64Binary-length-3-4.xml",
+ "NISTXML-base64Binary-length-3-3.xml",
+ "NISTXML-base64Binary-length-3-2.xml",
+ "NISTXML-base64Binary-length-3-1.xml",
+ "NISTXML-base64Binary-length-2-5.xml",
+ "NISTXML-base64Binary-length-2-4.xml",
+ "NISTXML-base64Binary-length-2-3.xml",
+ "NISTXML-base64Binary-length-2-2.xml",
+ "NISTXML-base64Binary-length-2-1.xml",
+ "NISTXML-base64Binary-length-1-5.xml",
+ "NISTXML-base64Binary-length-1-4.xml",
+ "NISTXML-base64Binary-length-1-3.xml",
+ "NISTXML-base64Binary-length-1-2.xml",
+ "NISTXML-base64Binary-length-1-1.xml",
+ "NISTXML-base64Binary-maxLength-5-5.xml",
+ "NISTXML-base64Binary-maxLength-5-4.xml",
+ "NISTXML-base64Binary-maxLength-4-5.xml",
+ "NISTXML-base64Binary-maxLength-4-4.xml",
+ "NISTXML-base64Binary-maxLength-3-5.xml",
+ "NISTXML-base64Binary-maxLength-3-4.xml",
+ "NISTXML-base64Binary-maxLength-2-5.xml",
+ "NISTXML-base64Binary-maxLength-2-4.xml",
+ "NISTXML-base64Binary-maxLength-1-5.xml",
+ "NISTXML-base64Binary-maxLength-1-4.xml",
+ "NISTXML-base64Binary-maxLength-1-3.xml",
+ "NISTXML-base64Binary-maxLength-1-2.xml",
+ "NISTXML-base64Binary-maxLength-1-1.xml"],[]}}.
+{'NISTSchema-date-',{["NISTXML-date-maxInclusive-5-5.xml",
+ "NISTXML-date-maxInclusive-5-4.xml",
+ "NISTXML-date-maxInclusive-5-3.xml",
+ "NISTXML-date-maxInclusive-5-2.xml",
+ "NISTXML-date-maxInclusive-5-1.xml",
+ "NISTXML-date-maxInclusive-4-5.xml",
+ "NISTXML-date-maxInclusive-4-4.xml",
+ "NISTXML-date-maxInclusive-4-3.xml",
+ "NISTXML-date-maxInclusive-4-2.xml",
+ "NISTXML-date-maxInclusive-4-1.xml",
+ "NISTXML-date-maxInclusive-3-5.xml",
+ "NISTXML-date-maxInclusive-3-4.xml",
+ "NISTXML-date-maxInclusive-3-3.xml",
+ "NISTXML-date-maxInclusive-3-2.xml",
+ "NISTXML-date-maxInclusive-3-1.xml",
+ "NISTXML-date-maxInclusive-2-5.xml",
+ "NISTXML-date-maxInclusive-2-4.xml",
+ "NISTXML-date-maxInclusive-2-3.xml",
+ "NISTXML-date-maxInclusive-2-2.xml",
+ "NISTXML-date-maxInclusive-2-1.xml",
+ "NISTXML-date-maxInclusive-1-1.xml",
+ "NISTXML-date-maxExclusive-5-5.xml",
+ "NISTXML-date-maxExclusive-5-4.xml",
+ "NISTXML-date-maxExclusive-5-3.xml",
+ "NISTXML-date-maxExclusive-5-2.xml",
+ "NISTXML-date-maxExclusive-5-1.xml",
+ "NISTXML-date-maxExclusive-4-5.xml",
+ "NISTXML-date-maxExclusive-4-4.xml",
+ "NISTXML-date-maxExclusive-4-3.xml",
+ "NISTXML-date-maxExclusive-4-2.xml",
+ "NISTXML-date-maxExclusive-4-1.xml",
+ "NISTXML-date-maxExclusive-3-5.xml",
+ "NISTXML-date-maxExclusive-3-4.xml",
+ "NISTXML-date-maxExclusive-3-3.xml",
+ "NISTXML-date-maxExclusive-3-2.xml",
+ "NISTXML-date-maxExclusive-3-1.xml",
+ "NISTXML-date-maxExclusive-2-5.xml",
+ "NISTXML-date-maxExclusive-2-4.xml",
+ "NISTXML-date-maxExclusive-2-3.xml",
+ "NISTXML-date-maxExclusive-2-2.xml",
+ "NISTXML-date-maxExclusive-2-1.xml",
+ "NISTXML-date-maxExclusive-1-1.xml",
+ "NISTXML-date-minInclusive-5-1.xml",
+ "NISTXML-date-minInclusive-4-5.xml",
+ "NISTXML-date-minInclusive-4-4.xml",
+ "NISTXML-date-minInclusive-4-3.xml",
+ "NISTXML-date-minInclusive-4-2.xml",
+ "NISTXML-date-minInclusive-4-1.xml",
+ "NISTXML-date-minInclusive-3-5.xml",
+ "NISTXML-date-minInclusive-3-4.xml",
+ "NISTXML-date-minInclusive-3-3.xml",
+ "NISTXML-date-minInclusive-3-2.xml",
+ "NISTXML-date-minInclusive-3-1.xml",
+ "NISTXML-date-minInclusive-2-5.xml",
+ "NISTXML-date-minInclusive-2-4.xml",
+ "NISTXML-date-minInclusive-2-3.xml",
+ "NISTXML-date-minInclusive-2-2.xml",
+ "NISTXML-date-minInclusive-2-1.xml",
+ "NISTXML-date-minInclusive-1-5.xml",
+ "NISTXML-date-minInclusive-1-4.xml",
+ "NISTXML-date-minInclusive-1-3.xml",
+ "NISTXML-date-minInclusive-1-2.xml",
+ "NISTXML-date-minInclusive-1-1.xml",
+ "NISTXML-date-minExclusive-5-1.xml",
+ "NISTXML-date-minExclusive-4-5.xml",
+ "NISTXML-date-minExclusive-4-4.xml",
+ "NISTXML-date-minExclusive-4-3.xml",
+ "NISTXML-date-minExclusive-4-2.xml",
+ "NISTXML-date-minExclusive-4-1.xml",
+ "NISTXML-date-minExclusive-3-5.xml",
+ "NISTXML-date-minExclusive-3-4.xml",
+ "NISTXML-date-minExclusive-3-3.xml",
+ "NISTXML-date-minExclusive-3-2.xml",
+ "NISTXML-date-minExclusive-3-1.xml",
+ "NISTXML-date-minExclusive-2-5.xml",
+ "NISTXML-date-minExclusive-2-4.xml",
+ "NISTXML-date-minExclusive-2-3.xml",
+ "NISTXML-date-minExclusive-2-2.xml",
+ "NISTXML-date-minExclusive-2-1.xml",
+ "NISTXML-date-minExclusive-1-5.xml",
+ "NISTXML-date-minExclusive-1-4.xml",
+ "NISTXML-date-minExclusive-1-3.xml",
+ "NISTXML-date-minExclusive-1-2.xml",
+ "NISTXML-date-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-decimal',{["NISTXML-decimal-maxExclusive-5-5.xml",
+ "NISTXML-decimal-maxExclusive-4-5.xml",
+ "NISTXML-decimal-maxExclusive-3-5.xml",
+ "NISTXML-decimal-maxExclusive-2-5.xml",
+ "NISTXML-decimal-maxExclusive-1-1.xml",
+ "NISTXML-decimal-minExclusive-5-1.xml",
+ "NISTXML-decimal-minExclusive-4-5.xml",
+ "NISTXML-decimal-minExclusive-4-4.xml",
+ "NISTXML-decimal-minExclusive-4-3.xml",
+ "NISTXML-decimal-minExclusive-4-2.xml",
+ "NISTXML-decimal-minExclusive-4-1.xml",
+ "NISTXML-decimal-minExclusive-3-5.xml",
+ "NISTXML-decimal-minExclusive-3-4.xml",
+ "NISTXML-decimal-minExclusive-3-3.xml",
+ "NISTXML-decimal-minExclusive-3-2.xml",
+ "NISTXML-decimal-minExclusive-3-1.xml",
+ "NISTXML-decimal-minExclusive-2-5.xml",
+ "NISTXML-decimal-minExclusive-2-4.xml",
+ "NISTXML-decimal-minExclusive-2-3.xml",
+ "NISTXML-decimal-minExclusive-2-2.xml",
+ "NISTXML-decimal-minExclusive-2-1.xml",
+ "NISTXML-decimal-minExclusive-1-5.xml",
+ "NISTXML-decimal-minExclusive-1-4.xml",
+ "NISTXML-decimal-minExclusive-1-3.xml",
+ "NISTXML-decimal-minExclusive-1-2.xml",
+ "NISTXML-decimal-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-double',{["NISTXML-double-maxInclusive-5-5.xml",
+ "NISTXML-double-maxInclusive-5-4.xml",
+ "NISTXML-double-maxInclusive-5-3.xml",
+ "NISTXML-double-maxInclusive-5-2.xml",
+ "NISTXML-double-maxInclusive-5-1.xml",
+ "NISTXML-double-maxInclusive-4-5.xml",
+ "NISTXML-double-maxInclusive-4-4.xml",
+ "NISTXML-double-maxInclusive-4-3.xml",
+ "NISTXML-double-maxInclusive-4-2.xml",
+ "NISTXML-double-maxInclusive-4-1.xml",
+ "NISTXML-double-maxInclusive-3-5.xml",
+ "NISTXML-double-maxInclusive-3-4.xml",
+ "NISTXML-double-maxInclusive-3-3.xml",
+ "NISTXML-double-maxInclusive-3-2.xml",
+ "NISTXML-double-maxInclusive-3-1.xml",
+ "NISTXML-double-maxInclusive-2-5.xml",
+ "NISTXML-double-maxInclusive-2-4.xml",
+ "NISTXML-double-maxInclusive-2-3.xml",
+ "NISTXML-double-maxInclusive-2-2.xml",
+ "NISTXML-double-maxInclusive-2-1.xml",
+ "NISTXML-double-maxExclusive-5-5.xml",
+ "NISTXML-double-maxExclusive-5-4.xml",
+ "NISTXML-double-maxExclusive-5-3.xml",
+ "NISTXML-double-maxExclusive-5-2.xml",
+ "NISTXML-double-maxExclusive-5-1.xml",
+ "NISTXML-double-maxExclusive-4-5.xml",
+ "NISTXML-double-maxExclusive-4-4.xml",
+ "NISTXML-double-maxExclusive-4-3.xml",
+ "NISTXML-double-maxExclusive-4-2.xml",
+ "NISTXML-double-maxExclusive-4-1.xml",
+ "NISTXML-double-maxExclusive-3-5.xml",
+ "NISTXML-double-maxExclusive-3-4.xml",
+ "NISTXML-double-maxExclusive-3-3.xml",
+ "NISTXML-double-maxExclusive-3-2.xml",
+ "NISTXML-double-maxExclusive-3-1.xml",
+ "NISTXML-double-maxExclusive-2-5.xml",
+ "NISTXML-double-maxExclusive-2-4.xml",
+ "NISTXML-double-maxExclusive-2-3.xml",
+ "NISTXML-double-maxExclusive-2-2.xml",
+ "NISTXML-double-maxExclusive-2-1.xml",
+ "NISTXML-double-maxExclusive-1-1.xml",
+ "NISTXML-double-minInclusive-4-5.xml",
+ "NISTXML-double-minInclusive-4-4.xml",
+ "NISTXML-double-minInclusive-4-3.xml",
+ "NISTXML-double-minInclusive-4-2.xml",
+ "NISTXML-double-minInclusive-3-5.xml",
+ "NISTXML-double-minInclusive-3-4.xml",
+ "NISTXML-double-minInclusive-3-3.xml",
+ "NISTXML-double-minInclusive-3-2.xml",
+ "NISTXML-double-minInclusive-2-5.xml",
+ "NISTXML-double-minInclusive-2-4.xml",
+ "NISTXML-double-minInclusive-2-3.xml",
+ "NISTXML-double-minInclusive-2-2.xml",
+ "NISTXML-double-minInclusive-1-5.xml",
+ "NISTXML-double-minInclusive-1-4.xml",
+ "NISTXML-double-minInclusive-1-3.xml",
+ "NISTXML-double-minInclusive-1-2.xml",
+ "NISTXML-double-minExclusive-5-1.xml",
+ "NISTXML-double-minExclusive-4-5.xml",
+ "NISTXML-double-minExclusive-4-4.xml",
+ "NISTXML-double-minExclusive-4-3.xml",
+ "NISTXML-double-minExclusive-3-5.xml",
+ "NISTXML-double-minExclusive-3-4.xml",
+ "NISTXML-double-minExclusive-3-3.xml",
+ "NISTXML-double-minExclusive-3-2.xml",
+ "NISTXML-double-minExclusive-3-1.xml",
+ "NISTXML-double-minExclusive-2-5.xml",
+ "NISTXML-double-minExclusive-2-4.xml",
+ "NISTXML-double-minExclusive-2-3.xml",
+ "NISTXML-double-minExclusive-2-2.xml",
+ "NISTXML-double-minExclusive-2-1.xml",
+ "NISTXML-double-minExclusive-1-5.xml",
+ "NISTXML-double-minExclusive-1-4.xml",
+ "NISTXML-double-minExclusive-1-3.xml",
+ "NISTXML-double-minExclusive-1-2.xml",
+ "NISTXML-double-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-gDay',{["NISTXML-gDay-maxInclusive-5-5.xml",
+ "NISTXML-gDay-maxInclusive-5-4.xml",
+ "NISTXML-gDay-maxInclusive-5-3.xml",
+ "NISTXML-gDay-maxInclusive-5-2.xml",
+ "NISTXML-gDay-maxInclusive-5-1.xml",
+ "NISTXML-gDay-maxInclusive-4-1.xml",
+ "NISTXML-gDay-maxInclusive-3-5.xml",
+ "NISTXML-gDay-maxInclusive-3-4.xml",
+ "NISTXML-gDay-maxInclusive-3-3.xml",
+ "NISTXML-gDay-maxInclusive-3-2.xml",
+ "NISTXML-gDay-maxInclusive-3-1.xml",
+ "NISTXML-gDay-maxInclusive-2-5.xml",
+ "NISTXML-gDay-maxInclusive-2-4.xml",
+ "NISTXML-gDay-maxInclusive-2-3.xml",
+ "NISTXML-gDay-maxInclusive-2-2.xml",
+ "NISTXML-gDay-maxInclusive-2-1.xml",
+ "NISTXML-gDay-maxInclusive-1-1.xml",
+ "NISTXML-gDay-maxExclusive-5-5.xml",
+ "NISTXML-gDay-maxExclusive-5-4.xml",
+ "NISTXML-gDay-maxExclusive-5-3.xml",
+ "NISTXML-gDay-maxExclusive-5-2.xml",
+ "NISTXML-gDay-maxExclusive-5-1.xml",
+ "NISTXML-gDay-maxExclusive-4-5.xml",
+ "NISTXML-gDay-maxExclusive-4-4.xml",
+ "NISTXML-gDay-maxExclusive-4-3.xml",
+ "NISTXML-gDay-maxExclusive-4-2.xml",
+ "NISTXML-gDay-maxExclusive-4-1.xml",
+ "NISTXML-gDay-maxExclusive-3-5.xml",
+ "NISTXML-gDay-maxExclusive-3-4.xml",
+ "NISTXML-gDay-maxExclusive-3-3.xml",
+ "NISTXML-gDay-maxExclusive-3-2.xml",
+ "NISTXML-gDay-maxExclusive-3-1.xml",
+ "NISTXML-gDay-maxExclusive-2-5.xml",
+ "NISTXML-gDay-maxExclusive-2-4.xml",
+ "NISTXML-gDay-maxExclusive-2-3.xml",
+ "NISTXML-gDay-maxExclusive-2-2.xml",
+ "NISTXML-gDay-maxExclusive-2-1.xml",
+ "NISTXML-gDay-maxExclusive-1-1.xml",
+ "NISTXML-gDay-minInclusive-5-1.xml",
+ "NISTXML-gDay-minInclusive-4-5.xml",
+ "NISTXML-gDay-minInclusive-4-4.xml",
+ "NISTXML-gDay-minInclusive-4-3.xml",
+ "NISTXML-gDay-minInclusive-4-2.xml",
+ "NISTXML-gDay-minInclusive-4-1.xml",
+ "NISTXML-gDay-minInclusive-3-5.xml",
+ "NISTXML-gDay-minInclusive-3-4.xml",
+ "NISTXML-gDay-minInclusive-3-3.xml",
+ "NISTXML-gDay-minInclusive-3-2.xml",
+ "NISTXML-gDay-minInclusive-3-1.xml",
+ "NISTXML-gDay-minInclusive-2-5.xml",
+ "NISTXML-gDay-minInclusive-2-4.xml",
+ "NISTXML-gDay-minInclusive-2-3.xml",
+ "NISTXML-gDay-minInclusive-2-2.xml",
+ "NISTXML-gDay-minInclusive-2-1.xml",
+ "NISTXML-gDay-minInclusive-1-5.xml",
+ "NISTXML-gDay-minInclusive-1-4.xml",
+ "NISTXML-gDay-minInclusive-1-3.xml",
+ "NISTXML-gDay-minInclusive-1-2.xml",
+ "NISTXML-gDay-minInclusive-1-1.xml",
+ "NISTXML-gDay-minExclusive-5-1.xml",
+ "NISTXML-gDay-minExclusive-4-5.xml",
+ "NISTXML-gDay-minExclusive-4-4.xml",
+ "NISTXML-gDay-minExclusive-4-3.xml",
+ "NISTXML-gDay-minExclusive-4-2.xml",
+ "NISTXML-gDay-minExclusive-4-1.xml",
+ "NISTXML-gDay-minExclusive-3-5.xml",
+ "NISTXML-gDay-minExclusive-3-4.xml",
+ "NISTXML-gDay-minExclusive-3-3.xml",
+ "NISTXML-gDay-minExclusive-3-2.xml",
+ "NISTXML-gDay-minExclusive-3-1.xml",
+ "NISTXML-gDay-minExclusive-2-5.xml",
+ "NISTXML-gDay-minExclusive-2-4.xml",
+ "NISTXML-gDay-minExclusive-2-3.xml",
+ "NISTXML-gDay-minExclusive-2-2.xml",
+ "NISTXML-gDay-minExclusive-2-1.xml",
+ "NISTXML-gDay-minExclusive-1-5.xml",
+ "NISTXML-gDay-minExclusive-1-4.xml",
+ "NISTXML-gDay-minExclusive-1-3.xml",
+ "NISTXML-gDay-minExclusive-1-2.xml",
+ "NISTXML-gDay-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-gMonth-',{["NISTXML-gMonth-whiteSpace-1-5.xml",
+ "NISTXML-gMonth-whiteSpace-1-4.xml",
+ "NISTXML-gMonth-whiteSpace-1-3.xml",
+ "NISTXML-gMonth-whiteSpace-1-2.xml",
+ "NISTXML-gMonth-whiteSpace-1-1.xml",
+ "NISTXML-gMonth-enumeration-5-5.xml",
+ "NISTXML-gMonth-enumeration-5-4.xml",
+ "NISTXML-gMonth-enumeration-5-3.xml",
+ "NISTXML-gMonth-enumeration-5-2.xml",
+ "NISTXML-gMonth-enumeration-5-1.xml",
+ "NISTXML-gMonth-enumeration-4-5.xml",
+ "NISTXML-gMonth-enumeration-4-4.xml",
+ "NISTXML-gMonth-enumeration-4-3.xml",
+ "NISTXML-gMonth-enumeration-4-2.xml",
+ "NISTXML-gMonth-enumeration-4-1.xml",
+ "NISTXML-gMonth-enumeration-3-5.xml",
+ "NISTXML-gMonth-enumeration-3-4.xml",
+ "NISTXML-gMonth-enumeration-3-3.xml",
+ "NISTXML-gMonth-enumeration-3-2.xml",
+ "NISTXML-gMonth-enumeration-3-1.xml",
+ "NISTXML-gMonth-enumeration-2-5.xml",
+ "NISTXML-gMonth-enumeration-2-4.xml",
+ "NISTXML-gMonth-enumeration-2-3.xml",
+ "NISTXML-gMonth-enumeration-2-2.xml",
+ "NISTXML-gMonth-enumeration-2-1.xml",
+ "NISTXML-gMonth-enumeration-1-5.xml",
+ "NISTXML-gMonth-enumeration-1-4.xml",
+ "NISTXML-gMonth-enumeration-1-3.xml",
+ "NISTXML-gMonth-enumeration-1-2.xml",
+ "NISTXML-gMonth-enumeration-1-1.xml",
+ "NISTXML-gMonth-pattern-5-5.xml",
+ "NISTXML-gMonth-pattern-5-4.xml",
+ "NISTXML-gMonth-pattern-5-3.xml",
+ "NISTXML-gMonth-pattern-5-2.xml",
+ "NISTXML-gMonth-pattern-5-1.xml",
+ "NISTXML-gMonth-pattern-4-5.xml",
+ "NISTXML-gMonth-pattern-4-4.xml",
+ "NISTXML-gMonth-pattern-4-3.xml",
+ "NISTXML-gMonth-pattern-4-2.xml",
+ "NISTXML-gMonth-pattern-4-1.xml",
+ "NISTXML-gMonth-pattern-3-5.xml",
+ "NISTXML-gMonth-pattern-3-4.xml",
+ "NISTXML-gMonth-pattern-3-3.xml",
+ "NISTXML-gMonth-pattern-3-2.xml",
+ "NISTXML-gMonth-pattern-3-1.xml",
+ "NISTXML-gMonth-pattern-2-5.xml",
+ "NISTXML-gMonth-pattern-2-4.xml",
+ "NISTXML-gMonth-pattern-2-3.xml",
+ "NISTXML-gMonth-pattern-2-2.xml",
+ "NISTXML-gMonth-pattern-2-1.xml",
+ "NISTXML-gMonth-pattern-1-5.xml",
+ "NISTXML-gMonth-pattern-1-4.xml",
+ "NISTXML-gMonth-pattern-1-3.xml",
+ "NISTXML-gMonth-pattern-1-2.xml",
+ "NISTXML-gMonth-pattern-1-1.xml",
+ "NISTXML-gMonth-maxInclusive-5-5.xml",
+ "NISTXML-gMonth-maxInclusive-5-4.xml",
+ "NISTXML-gMonth-maxInclusive-5-3.xml",
+ "NISTXML-gMonth-maxInclusive-5-2.xml",
+ "NISTXML-gMonth-maxInclusive-5-1.xml",
+ "NISTXML-gMonth-maxInclusive-4-5.xml",
+ "NISTXML-gMonth-maxInclusive-4-4.xml",
+ "NISTXML-gMonth-maxInclusive-4-3.xml",
+ "NISTXML-gMonth-maxInclusive-4-2.xml",
+ "NISTXML-gMonth-maxInclusive-4-1.xml",
+ "NISTXML-gMonth-maxInclusive-3-5.xml",
+ "NISTXML-gMonth-maxInclusive-3-4.xml",
+ "NISTXML-gMonth-maxInclusive-3-3.xml",
+ "NISTXML-gMonth-maxInclusive-3-2.xml",
+ "NISTXML-gMonth-maxInclusive-3-1.xml",
+ "NISTXML-gMonth-maxInclusive-2-5.xml",
+ "NISTXML-gMonth-maxInclusive-2-4.xml",
+ "NISTXML-gMonth-maxInclusive-2-3.xml",
+ "NISTXML-gMonth-maxInclusive-2-2.xml",
+ "NISTXML-gMonth-maxInclusive-2-1.xml",
+ "NISTXML-gMonth-maxInclusive-1-1.xml",
+ "NISTXML-gMonth-maxExclusive-5-5.xml",
+ "NISTXML-gMonth-maxExclusive-5-4.xml",
+ "NISTXML-gMonth-maxExclusive-5-3.xml",
+ "NISTXML-gMonth-maxExclusive-5-2.xml",
+ "NISTXML-gMonth-maxExclusive-5-1.xml",
+ "NISTXML-gMonth-maxExclusive-4-5.xml",
+ "NISTXML-gMonth-maxExclusive-4-4.xml",
+ "NISTXML-gMonth-maxExclusive-4-3.xml",
+ "NISTXML-gMonth-maxExclusive-4-2.xml",
+ "NISTXML-gMonth-maxExclusive-4-1.xml",
+ "NISTXML-gMonth-maxExclusive-3-5.xml",
+ "NISTXML-gMonth-maxExclusive-3-4.xml",
+ "NISTXML-gMonth-maxExclusive-3-3.xml",
+ "NISTXML-gMonth-maxExclusive-3-2.xml",
+ "NISTXML-gMonth-maxExclusive-3-1.xml",
+ "NISTXML-gMonth-maxExclusive-2-5.xml",
+ "NISTXML-gMonth-maxExclusive-2-4.xml",
+ "NISTXML-gMonth-maxExclusive-2-3.xml",
+ "NISTXML-gMonth-maxExclusive-2-2.xml",
+ "NISTXML-gMonth-maxExclusive-2-1.xml",
+ "NISTXML-gMonth-maxExclusive-1-1.xml",
+ "NISTXML-gMonth-minInclusive-5-1.xml",
+ "NISTXML-gMonth-minInclusive-4-5.xml",
+ "NISTXML-gMonth-minInclusive-4-4.xml",
+ "NISTXML-gMonth-minInclusive-4-3.xml",
+ "NISTXML-gMonth-minInclusive-4-2.xml",
+ "NISTXML-gMonth-minInclusive-4-1.xml",
+ "NISTXML-gMonth-minInclusive-3-5.xml",
+ "NISTXML-gMonth-minInclusive-3-4.xml",
+ "NISTXML-gMonth-minInclusive-3-3.xml",
+ "NISTXML-gMonth-minInclusive-3-2.xml",
+ "NISTXML-gMonth-minInclusive-3-1.xml",
+ "NISTXML-gMonth-minInclusive-2-5.xml",
+ "NISTXML-gMonth-minInclusive-2-4.xml",
+ "NISTXML-gMonth-minInclusive-2-3.xml",
+ "NISTXML-gMonth-minInclusive-2-2.xml",
+ "NISTXML-gMonth-minInclusive-2-1.xml",
+ "NISTXML-gMonth-minInclusive-1-5.xml",
+ "NISTXML-gMonth-minInclusive-1-4.xml",
+ "NISTXML-gMonth-minInclusive-1-3.xml",
+ "NISTXML-gMonth-minInclusive-1-2.xml",
+ "NISTXML-gMonth-minInclusive-1-1.xml",
+ "NISTXML-gMonth-minExclusive-5-1.xml",
+ "NISTXML-gMonth-minExclusive-4-5.xml",
+ "NISTXML-gMonth-minExclusive-4-4.xml",
+ "NISTXML-gMonth-minExclusive-4-3.xml",
+ "NISTXML-gMonth-minExclusive-4-2.xml",
+ "NISTXML-gMonth-minExclusive-4-1.xml",
+ "NISTXML-gMonth-minExclusive-3-5.xml",
+ "NISTXML-gMonth-minExclusive-3-4.xml",
+ "NISTXML-gMonth-minExclusive-3-3.xml",
+ "NISTXML-gMonth-minExclusive-3-2.xml",
+ "NISTXML-gMonth-minExclusive-3-1.xml",
+ "NISTXML-gMonth-minExclusive-2-5.xml",
+ "NISTXML-gMonth-minExclusive-2-4.xml",
+ "NISTXML-gMonth-minExclusive-2-3.xml",
+ "NISTXML-gMonth-minExclusive-2-2.xml",
+ "NISTXML-gMonth-minExclusive-2-1.xml",
+ "NISTXML-gMonth-minExclusive-1-5.xml",
+ "NISTXML-gMonth-minExclusive-1-4.xml",
+ "NISTXML-gMonth-minExclusive-1-3.xml",
+ "NISTXML-gMonth-minExclusive-1-2.xml",
+ "NISTXML-gMonth-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-gMonthDay',{["NISTXML-gMonthDay-maxInclusive-5-5.xml",
+ "NISTXML-gMonthDay-maxInclusive-5-4.xml",
+ "NISTXML-gMonthDay-maxInclusive-5-3.xml",
+ "NISTXML-gMonthDay-maxInclusive-5-2.xml",
+ "NISTXML-gMonthDay-maxInclusive-5-1.xml",
+ "NISTXML-gMonthDay-maxInclusive-4-5.xml",
+ "NISTXML-gMonthDay-maxInclusive-4-4.xml",
+ "NISTXML-gMonthDay-maxInclusive-4-3.xml",
+ "NISTXML-gMonthDay-maxInclusive-4-2.xml",
+ "NISTXML-gMonthDay-maxInclusive-4-1.xml",
+ "NISTXML-gMonthDay-maxInclusive-3-5.xml",
+ "NISTXML-gMonthDay-maxInclusive-3-4.xml",
+ "NISTXML-gMonthDay-maxInclusive-3-3.xml",
+ "NISTXML-gMonthDay-maxInclusive-3-2.xml",
+ "NISTXML-gMonthDay-maxInclusive-3-1.xml",
+ "NISTXML-gMonthDay-maxInclusive-2-5.xml",
+ "NISTXML-gMonthDay-maxInclusive-2-4.xml",
+ "NISTXML-gMonthDay-maxInclusive-2-3.xml",
+ "NISTXML-gMonthDay-maxInclusive-2-2.xml",
+ "NISTXML-gMonthDay-maxInclusive-2-1.xml",
+ "NISTXML-gMonthDay-maxInclusive-1-1.xml",
+ "NISTXML-gMonthDay-maxExclusive-5-5.xml",
+ "NISTXML-gMonthDay-maxExclusive-5-4.xml",
+ "NISTXML-gMonthDay-maxExclusive-5-3.xml",
+ "NISTXML-gMonthDay-maxExclusive-5-2.xml",
+ "NISTXML-gMonthDay-maxExclusive-5-1.xml",
+ "NISTXML-gMonthDay-maxExclusive-4-5.xml",
+ "NISTXML-gMonthDay-maxExclusive-4-4.xml",
+ "NISTXML-gMonthDay-maxExclusive-4-3.xml",
+ "NISTXML-gMonthDay-maxExclusive-4-2.xml",
+ "NISTXML-gMonthDay-maxExclusive-4-1.xml",
+ "NISTXML-gMonthDay-maxExclusive-3-5.xml",
+ "NISTXML-gMonthDay-maxExclusive-3-4.xml",
+ "NISTXML-gMonthDay-maxExclusive-3-3.xml",
+ "NISTXML-gMonthDay-maxExclusive-3-2.xml",
+ "NISTXML-gMonthDay-maxExclusive-3-1.xml",
+ "NISTXML-gMonthDay-maxExclusive-2-5.xml",
+ "NISTXML-gMonthDay-maxExclusive-2-4.xml",
+ "NISTXML-gMonthDay-maxExclusive-2-3.xml",
+ "NISTXML-gMonthDay-maxExclusive-2-2.xml",
+ "NISTXML-gMonthDay-maxExclusive-2-1.xml",
+ "NISTXML-gMonthDay-maxExclusive-1-1.xml",
+ "NISTXML-gMonthDay-minInclusive-5-1.xml",
+ "NISTXML-gMonthDay-minInclusive-4-5.xml",
+ "NISTXML-gMonthDay-minInclusive-4-4.xml",
+ "NISTXML-gMonthDay-minInclusive-4-3.xml",
+ "NISTXML-gMonthDay-minInclusive-4-2.xml",
+ "NISTXML-gMonthDay-minInclusive-4-1.xml",
+ "NISTXML-gMonthDay-minInclusive-3-5.xml",
+ "NISTXML-gMonthDay-minInclusive-3-4.xml",
+ "NISTXML-gMonthDay-minInclusive-3-3.xml",
+ "NISTXML-gMonthDay-minInclusive-3-2.xml",
+ "NISTXML-gMonthDay-minInclusive-3-1.xml",
+ "NISTXML-gMonthDay-minInclusive-2-5.xml",
+ "NISTXML-gMonthDay-minInclusive-2-4.xml",
+ "NISTXML-gMonthDay-minInclusive-2-3.xml",
+ "NISTXML-gMonthDay-minInclusive-2-2.xml",
+ "NISTXML-gMonthDay-minInclusive-2-1.xml",
+ "NISTXML-gMonthDay-minInclusive-1-5.xml",
+ "NISTXML-gMonthDay-minInclusive-1-4.xml",
+ "NISTXML-gMonthDay-minInclusive-1-3.xml",
+ "NISTXML-gMonthDay-minInclusive-1-2.xml",
+ "NISTXML-gMonthDay-minInclusive-1-1.xml",
+ "NISTXML-gMonthDay-minExclusive-5-1.xml",
+ "NISTXML-gMonthDay-minExclusive-4-5.xml",
+ "NISTXML-gMonthDay-minExclusive-4-4.xml",
+ "NISTXML-gMonthDay-minExclusive-4-3.xml",
+ "NISTXML-gMonthDay-minExclusive-4-2.xml",
+ "NISTXML-gMonthDay-minExclusive-4-1.xml",
+ "NISTXML-gMonthDay-minExclusive-3-5.xml",
+ "NISTXML-gMonthDay-minExclusive-3-4.xml",
+ "NISTXML-gMonthDay-minExclusive-3-3.xml",
+ "NISTXML-gMonthDay-minExclusive-3-2.xml",
+ "NISTXML-gMonthDay-minExclusive-3-1.xml",
+ "NISTXML-gMonthDay-minExclusive-2-5.xml",
+ "NISTXML-gMonthDay-minExclusive-2-4.xml",
+ "NISTXML-gMonthDay-minExclusive-2-3.xml",
+ "NISTXML-gMonthDay-minExclusive-2-2.xml",
+ "NISTXML-gMonthDay-minExclusive-2-1.xml",
+ "NISTXML-gMonthDay-minExclusive-1-5.xml",
+ "NISTXML-gMonthDay-minExclusive-1-4.xml",
+ "NISTXML-gMonthDay-minExclusive-1-3.xml",
+ "NISTXML-gMonthDay-minExclusive-1-2.xml",
+ "NISTXML-gMonthDay-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-gYear-',{["NISTXML-gYear-maxInclusive-5-5.xml",
+ "NISTXML-gYear-maxInclusive-5-4.xml",
+ "NISTXML-gYear-maxInclusive-5-3.xml",
+ "NISTXML-gYear-maxInclusive-5-2.xml",
+ "NISTXML-gYear-maxInclusive-5-1.xml",
+ "NISTXML-gYear-maxInclusive-4-5.xml",
+ "NISTXML-gYear-maxInclusive-4-4.xml",
+ "NISTXML-gYear-maxInclusive-4-3.xml",
+ "NISTXML-gYear-maxInclusive-4-2.xml",
+ "NISTXML-gYear-maxInclusive-4-1.xml",
+ "NISTXML-gYear-maxInclusive-3-5.xml",
+ "NISTXML-gYear-maxInclusive-3-4.xml",
+ "NISTXML-gYear-maxInclusive-3-3.xml",
+ "NISTXML-gYear-maxInclusive-3-2.xml",
+ "NISTXML-gYear-maxInclusive-3-1.xml",
+ "NISTXML-gYear-maxInclusive-2-1.xml",
+ "NISTXML-gYear-maxInclusive-1-1.xml",
+ "NISTXML-gYear-maxExclusive-5-5.xml",
+ "NISTXML-gYear-maxExclusive-5-4.xml",
+ "NISTXML-gYear-maxExclusive-5-3.xml",
+ "NISTXML-gYear-maxExclusive-5-2.xml",
+ "NISTXML-gYear-maxExclusive-5-1.xml",
+ "NISTXML-gYear-maxExclusive-4-5.xml",
+ "NISTXML-gYear-maxExclusive-4-4.xml",
+ "NISTXML-gYear-maxExclusive-4-3.xml",
+ "NISTXML-gYear-maxExclusive-4-2.xml",
+ "NISTXML-gYear-maxExclusive-4-1.xml",
+ "NISTXML-gYear-maxExclusive-3-5.xml",
+ "NISTXML-gYear-maxExclusive-3-4.xml",
+ "NISTXML-gYear-maxExclusive-3-3.xml",
+ "NISTXML-gYear-maxExclusive-3-2.xml",
+ "NISTXML-gYear-maxExclusive-3-1.xml",
+ "NISTXML-gYear-maxExclusive-2-5.xml",
+ "NISTXML-gYear-maxExclusive-2-4.xml",
+ "NISTXML-gYear-maxExclusive-2-3.xml",
+ "NISTXML-gYear-maxExclusive-2-2.xml",
+ "NISTXML-gYear-maxExclusive-2-1.xml",
+ "NISTXML-gYear-maxExclusive-1-1.xml",
+ "NISTXML-gYear-minInclusive-5-1.xml",
+ "NISTXML-gYear-minInclusive-4-5.xml",
+ "NISTXML-gYear-minInclusive-4-4.xml",
+ "NISTXML-gYear-minInclusive-4-3.xml",
+ "NISTXML-gYear-minInclusive-4-2.xml",
+ "NISTXML-gYear-minInclusive-4-1.xml",
+ "NISTXML-gYear-minInclusive-3-5.xml",
+ "NISTXML-gYear-minInclusive-3-4.xml",
+ "NISTXML-gYear-minInclusive-3-3.xml",
+ "NISTXML-gYear-minInclusive-3-2.xml",
+ "NISTXML-gYear-minInclusive-3-1.xml",
+ "NISTXML-gYear-minInclusive-2-5.xml",
+ "NISTXML-gYear-minInclusive-2-4.xml",
+ "NISTXML-gYear-minInclusive-2-3.xml",
+ "NISTXML-gYear-minInclusive-2-2.xml",
+ "NISTXML-gYear-minInclusive-2-1.xml",
+ "NISTXML-gYear-minInclusive-1-5.xml",
+ "NISTXML-gYear-minInclusive-1-4.xml",
+ "NISTXML-gYear-minInclusive-1-3.xml",
+ "NISTXML-gYear-minInclusive-1-2.xml",
+ "NISTXML-gYear-minInclusive-1-1.xml",
+ "NISTXML-gYear-minExclusive-5-1.xml",
+ "NISTXML-gYear-minExclusive-4-5.xml",
+ "NISTXML-gYear-minExclusive-4-4.xml",
+ "NISTXML-gYear-minExclusive-4-3.xml",
+ "NISTXML-gYear-minExclusive-4-2.xml",
+ "NISTXML-gYear-minExclusive-4-1.xml",
+ "NISTXML-gYear-minExclusive-3-5.xml",
+ "NISTXML-gYear-minExclusive-3-4.xml",
+ "NISTXML-gYear-minExclusive-3-3.xml",
+ "NISTXML-gYear-minExclusive-3-2.xml",
+ "NISTXML-gYear-minExclusive-3-1.xml",
+ "NISTXML-gYear-minExclusive-2-5.xml",
+ "NISTXML-gYear-minExclusive-2-4.xml",
+ "NISTXML-gYear-minExclusive-2-3.xml",
+ "NISTXML-gYear-minExclusive-2-2.xml",
+ "NISTXML-gYear-minExclusive-2-1.xml",
+ "NISTXML-gYear-minExclusive-1-5.xml",
+ "NISTXML-gYear-minExclusive-1-4.xml",
+ "NISTXML-gYear-minExclusive-1-3.xml",
+ "NISTXML-gYear-minExclusive-1-2.xml",
+ "NISTXML-gYear-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-gYearMonth',{["NISTXML-gYearMonth-maxInclusive-5-5.xml",
+ "NISTXML-gYearMonth-maxInclusive-5-4.xml",
+ "NISTXML-gYearMonth-maxInclusive-5-3.xml",
+ "NISTXML-gYearMonth-maxInclusive-5-2.xml",
+ "NISTXML-gYearMonth-maxInclusive-5-1.xml",
+ "NISTXML-gYearMonth-maxInclusive-4-5.xml",
+ "NISTXML-gYearMonth-maxInclusive-4-4.xml",
+ "NISTXML-gYearMonth-maxInclusive-4-3.xml",
+ "NISTXML-gYearMonth-maxInclusive-4-2.xml",
+ "NISTXML-gYearMonth-maxInclusive-4-1.xml",
+ "NISTXML-gYearMonth-maxInclusive-3-5.xml",
+ "NISTXML-gYearMonth-maxInclusive-3-4.xml",
+ "NISTXML-gYearMonth-maxInclusive-3-3.xml",
+ "NISTXML-gYearMonth-maxInclusive-3-2.xml",
+ "NISTXML-gYearMonth-maxInclusive-3-1.xml",
+ "NISTXML-gYearMonth-maxInclusive-2-5.xml",
+ "NISTXML-gYearMonth-maxInclusive-2-4.xml",
+ "NISTXML-gYearMonth-maxInclusive-2-3.xml",
+ "NISTXML-gYearMonth-maxInclusive-2-2.xml",
+ "NISTXML-gYearMonth-maxInclusive-2-1.xml",
+ "NISTXML-gYearMonth-maxInclusive-1-1.xml",
+ "NISTXML-gYearMonth-maxExclusive-5-5.xml",
+ "NISTXML-gYearMonth-maxExclusive-5-4.xml",
+ "NISTXML-gYearMonth-maxExclusive-5-3.xml",
+ "NISTXML-gYearMonth-maxExclusive-5-2.xml",
+ "NISTXML-gYearMonth-maxExclusive-5-1.xml",
+ "NISTXML-gYearMonth-maxExclusive-4-5.xml",
+ "NISTXML-gYearMonth-maxExclusive-4-4.xml",
+ "NISTXML-gYearMonth-maxExclusive-4-3.xml",
+ "NISTXML-gYearMonth-maxExclusive-4-2.xml",
+ "NISTXML-gYearMonth-maxExclusive-4-1.xml",
+ "NISTXML-gYearMonth-maxExclusive-3-5.xml",
+ "NISTXML-gYearMonth-maxExclusive-3-4.xml",
+ "NISTXML-gYearMonth-maxExclusive-3-3.xml",
+ "NISTXML-gYearMonth-maxExclusive-3-2.xml",
+ "NISTXML-gYearMonth-maxExclusive-3-1.xml",
+ "NISTXML-gYearMonth-maxExclusive-2-5.xml",
+ "NISTXML-gYearMonth-maxExclusive-2-4.xml",
+ "NISTXML-gYearMonth-maxExclusive-2-3.xml",
+ "NISTXML-gYearMonth-maxExclusive-2-2.xml",
+ "NISTXML-gYearMonth-maxExclusive-2-1.xml",
+ "NISTXML-gYearMonth-maxExclusive-1-1.xml",
+ "NISTXML-gYearMonth-minInclusive-5-1.xml",
+ "NISTXML-gYearMonth-minInclusive-4-5.xml",
+ "NISTXML-gYearMonth-minInclusive-4-4.xml",
+ "NISTXML-gYearMonth-minInclusive-4-3.xml",
+ "NISTXML-gYearMonth-minInclusive-4-2.xml",
+ "NISTXML-gYearMonth-minInclusive-4-1.xml",
+ "NISTXML-gYearMonth-minInclusive-3-5.xml",
+ "NISTXML-gYearMonth-minInclusive-3-4.xml",
+ "NISTXML-gYearMonth-minInclusive-3-3.xml",
+ "NISTXML-gYearMonth-minInclusive-3-2.xml",
+ "NISTXML-gYearMonth-minInclusive-3-1.xml",
+ "NISTXML-gYearMonth-minInclusive-2-5.xml",
+ "NISTXML-gYearMonth-minInclusive-2-4.xml",
+ "NISTXML-gYearMonth-minInclusive-2-3.xml",
+ "NISTXML-gYearMonth-minInclusive-2-2.xml",
+ "NISTXML-gYearMonth-minInclusive-2-1.xml",
+ "NISTXML-gYearMonth-minInclusive-1-5.xml",
+ "NISTXML-gYearMonth-minInclusive-1-4.xml",
+ "NISTXML-gYearMonth-minInclusive-1-3.xml",
+ "NISTXML-gYearMonth-minInclusive-1-2.xml",
+ "NISTXML-gYearMonth-minInclusive-1-1.xml",
+ "NISTXML-gYearMonth-minExclusive-5-1.xml",
+ "NISTXML-gYearMonth-minExclusive-4-5.xml",
+ "NISTXML-gYearMonth-minExclusive-4-4.xml",
+ "NISTXML-gYearMonth-minExclusive-4-3.xml",
+ "NISTXML-gYearMonth-minExclusive-4-2.xml",
+ "NISTXML-gYearMonth-minExclusive-4-1.xml",
+ "NISTXML-gYearMonth-minExclusive-3-5.xml",
+ "NISTXML-gYearMonth-minExclusive-3-4.xml",
+ "NISTXML-gYearMonth-minExclusive-3-3.xml",
+ "NISTXML-gYearMonth-minExclusive-3-2.xml",
+ "NISTXML-gYearMonth-minExclusive-3-1.xml",
+ "NISTXML-gYearMonth-minExclusive-2-5.xml",
+ "NISTXML-gYearMonth-minExclusive-2-4.xml",
+ "NISTXML-gYearMonth-minExclusive-2-3.xml",
+ "NISTXML-gYearMonth-minExclusive-2-2.xml",
+ "NISTXML-gYearMonth-minExclusive-2-1.xml",
+ "NISTXML-gYearMonth-minExclusive-1-5.xml",
+ "NISTXML-gYearMonth-minExclusive-1-4.xml",
+ "NISTXML-gYearMonth-minExclusive-1-3.xml",
+ "NISTXML-gYearMonth-minExclusive-1-2.xml",
+ "NISTXML-gYearMonth-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-hexBinary',{["NISTXML-hexBinary-length-5-5.xml",
+ "NISTXML-hexBinary-length-5-4.xml",
+ "NISTXML-hexBinary-length-5-3.xml",
+ "NISTXML-hexBinary-length-5-2.xml",
+ "NISTXML-hexBinary-length-5-1.xml",
+ "NISTXML-hexBinary-length-4-5.xml",
+ "NISTXML-hexBinary-length-4-4.xml",
+ "NISTXML-hexBinary-length-4-3.xml",
+ "NISTXML-hexBinary-length-4-2.xml",
+ "NISTXML-hexBinary-length-4-1.xml",
+ "NISTXML-hexBinary-length-3-5.xml",
+ "NISTXML-hexBinary-length-3-4.xml",
+ "NISTXML-hexBinary-length-3-3.xml",
+ "NISTXML-hexBinary-length-3-2.xml",
+ "NISTXML-hexBinary-length-3-1.xml",
+ "NISTXML-hexBinary-length-2-5.xml",
+ "NISTXML-hexBinary-length-2-4.xml",
+ "NISTXML-hexBinary-length-2-3.xml",
+ "NISTXML-hexBinary-length-2-2.xml",
+ "NISTXML-hexBinary-length-2-1.xml",
+ "NISTXML-hexBinary-length-1-5.xml",
+ "NISTXML-hexBinary-length-1-4.xml",
+ "NISTXML-hexBinary-length-1-3.xml",
+ "NISTXML-hexBinary-length-1-2.xml",
+ "NISTXML-hexBinary-length-1-1.xml",
+ "NISTXML-hexBinary-maxLength-5-5.xml",
+ "NISTXML-hexBinary-maxLength-5-4.xml",
+ "NISTXML-hexBinary-maxLength-4-5.xml",
+ "NISTXML-hexBinary-maxLength-4-4.xml",
+ "NISTXML-hexBinary-maxLength-3-5.xml",
+ "NISTXML-hexBinary-maxLength-3-4.xml",
+ "NISTXML-hexBinary-maxLength-2-5.xml",
+ "NISTXML-hexBinary-maxLength-2-4.xml",
+ "NISTXML-hexBinary-maxLength-2-3.xml",
+ "NISTXML-hexBinary-maxLength-1-5.xml",
+ "NISTXML-hexBinary-maxLength-1-4.xml",
+ "NISTXML-hexBinary-maxLength-1-3.xml",
+ "NISTXML-hexBinary-maxLength-1-2.xml",
+ "NISTXML-hexBinary-maxLength-1-1.xml"],[]}}.
+{'NISTSchema-negativeInteger',{["NISTXML-negativeInteger-totalDigits-5-5.xml",
+ "NISTXML-negativeInteger-totalDigits-4-5.xml",
+ "NISTXML-negativeInteger-totalDigits-3-5.xml",
+ "NISTXML-negativeInteger-totalDigits-2-5.xml",
+ "NISTXML-negativeInteger-totalDigits-1-5.xml",
+ "NISTXML-negativeInteger-totalDigits-1-4.xml",
+ "NISTXML-negativeInteger-totalDigits-1-3.xml",
+ "NISTXML-negativeInteger-totalDigits-1-2.xml",
+ "NISTXML-negativeInteger-totalDigits-1-1.xml"],[]}}.
+{'NISTSchema-nonPositiveInteger',{["NISTXML-nonPositiveInteger-totalDigits-5-5.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-4-5.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-3-5.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-2-5.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-1-5.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-1-4.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-1-3.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-1-2.xml",
+ "NISTXML-nonPositiveInteger-totalDigits-1-1.xml"],[]}}.
+{'NISTSchema-normalizedString',{["NISTXML-normalizedString-length-1-1.xml",
+ "NISTXML-normalizedString-maxLength-5-5.xml",
+ "NISTXML-normalizedString-maxLength-5-4.xml",
+ "NISTXML-normalizedString-maxLength-5-3.xml",
+ "NISTXML-normalizedString-maxLength-5-2.xml",
+ "NISTXML-normalizedString-maxLength-5-1.xml",
+ "NISTXML-normalizedString-maxLength-4-5.xml",
+ "NISTXML-normalizedString-maxLength-4-4.xml",
+ "NISTXML-normalizedString-maxLength-4-3.xml",
+ "NISTXML-normalizedString-maxLength-4-2.xml",
+ "NISTXML-normalizedString-maxLength-4-1.xml",
+ "NISTXML-normalizedString-maxLength-3-5.xml",
+ "NISTXML-normalizedString-maxLength-3-4.xml",
+ "NISTXML-normalizedString-maxLength-3-3.xml",
+ "NISTXML-normalizedString-maxLength-3-2.xml",
+ "NISTXML-normalizedString-maxLength-3-1.xml",
+ "NISTXML-normalizedString-maxLength-2-5.xml",
+ "NISTXML-normalizedString-maxLength-2-4.xml",
+ "NISTXML-normalizedString-maxLength-2-3.xml",
+ "NISTXML-normalizedString-maxLength-2-2.xml",
+ "NISTXML-normalizedString-maxLength-2-1.xml",
+ "NISTXML-normalizedString-maxLength-1-1.xml"],[]}}.
+{'NISTSchema-string',{["NISTXML-string-length-1-1.xml",
+ "NISTXML-string-maxLength-5-5.xml",
+ "NISTXML-string-maxLength-5-4.xml",
+ "NISTXML-string-maxLength-5-3.xml",
+ "NISTXML-string-maxLength-5-2.xml",
+ "NISTXML-string-maxLength-5-1.xml",
+ "NISTXML-string-maxLength-4-5.xml",
+ "NISTXML-string-maxLength-4-4.xml",
+ "NISTXML-string-maxLength-4-3.xml",
+ "NISTXML-string-maxLength-4-2.xml",
+ "NISTXML-string-maxLength-4-1.xml",
+ "NISTXML-string-maxLength-3-5.xml",
+ "NISTXML-string-maxLength-3-4.xml",
+ "NISTXML-string-maxLength-3-3.xml",
+ "NISTXML-string-maxLength-3-2.xml",
+ "NISTXML-string-maxLength-3-1.xml",
+ "NISTXML-string-maxLength-2-5.xml",
+ "NISTXML-string-maxLength-2-4.xml",
+ "NISTXML-string-maxLength-2-3.xml",
+ "NISTXML-string-maxLength-2-2.xml",
+ "NISTXML-string-maxLength-2-1.xml",
+ "NISTXML-string-maxLength-1-1.xml"],[]}}.
+{'NISTSchema-time',{["NISTXML-time-maxInclusive-5-5.xml",
+ "NISTXML-time-maxInclusive-5-4.xml",
+ "NISTXML-time-maxInclusive-5-3.xml",
+ "NISTXML-time-maxInclusive-5-2.xml",
+ "NISTXML-time-maxInclusive-5-1.xml",
+ "NISTXML-time-maxInclusive-4-5.xml",
+ "NISTXML-time-maxInclusive-4-4.xml",
+ "NISTXML-time-maxInclusive-4-3.xml",
+ "NISTXML-time-maxInclusive-4-2.xml",
+ "NISTXML-time-maxInclusive-4-1.xml",
+ "NISTXML-time-maxInclusive-3-5.xml",
+ "NISTXML-time-maxInclusive-3-4.xml",
+ "NISTXML-time-maxInclusive-3-3.xml",
+ "NISTXML-time-maxInclusive-3-2.xml",
+ "NISTXML-time-maxInclusive-3-1.xml",
+ "NISTXML-time-maxInclusive-2-5.xml",
+ "NISTXML-time-maxInclusive-2-4.xml",
+ "NISTXML-time-maxInclusive-2-3.xml",
+ "NISTXML-time-maxInclusive-2-2.xml",
+ "NISTXML-time-maxInclusive-2-1.xml",
+ "NISTXML-time-maxInclusive-1-1.xml",
+ "NISTXML-time-maxExclusive-5-5.xml",
+ "NISTXML-time-maxExclusive-5-4.xml",
+ "NISTXML-time-maxExclusive-5-3.xml",
+ "NISTXML-time-maxExclusive-5-2.xml",
+ "NISTXML-time-maxExclusive-5-1.xml",
+ "NISTXML-time-maxExclusive-4-5.xml",
+ "NISTXML-time-maxExclusive-4-4.xml",
+ "NISTXML-time-maxExclusive-4-3.xml",
+ "NISTXML-time-maxExclusive-4-2.xml",
+ "NISTXML-time-maxExclusive-4-1.xml",
+ "NISTXML-time-maxExclusive-3-5.xml",
+ "NISTXML-time-maxExclusive-3-4.xml",
+ "NISTXML-time-maxExclusive-3-3.xml",
+ "NISTXML-time-maxExclusive-3-2.xml",
+ "NISTXML-time-maxExclusive-3-1.xml",
+ "NISTXML-time-maxExclusive-2-5.xml",
+ "NISTXML-time-maxExclusive-2-4.xml",
+ "NISTXML-time-maxExclusive-2-3.xml",
+ "NISTXML-time-maxExclusive-2-2.xml",
+ "NISTXML-time-maxExclusive-2-1.xml",
+ "NISTXML-time-maxExclusive-1-1.xml",
+ "NISTXML-time-minInclusive-5-1.xml",
+ "NISTXML-time-minInclusive-4-5.xml",
+ "NISTXML-time-minInclusive-4-4.xml",
+ "NISTXML-time-minInclusive-4-3.xml",
+ "NISTXML-time-minInclusive-4-2.xml",
+ "NISTXML-time-minInclusive-4-1.xml",
+ "NISTXML-time-minInclusive-3-5.xml",
+ "NISTXML-time-minInclusive-3-4.xml",
+ "NISTXML-time-minInclusive-3-3.xml",
+ "NISTXML-time-minInclusive-3-2.xml",
+ "NISTXML-time-minInclusive-3-1.xml",
+ "NISTXML-time-minInclusive-2-5.xml",
+ "NISTXML-time-minInclusive-2-4.xml",
+ "NISTXML-time-minInclusive-2-3.xml",
+ "NISTXML-time-minInclusive-2-2.xml",
+ "NISTXML-time-minInclusive-2-1.xml",
+ "NISTXML-time-minInclusive-1-5.xml",
+ "NISTXML-time-minInclusive-1-4.xml",
+ "NISTXML-time-minInclusive-1-3.xml",
+ "NISTXML-time-minInclusive-1-2.xml",
+ "NISTXML-time-minInclusive-1-1.xml",
+ "NISTXML-time-minExclusive-5-1.xml",
+ "NISTXML-time-minExclusive-4-5.xml",
+ "NISTXML-time-minExclusive-4-4.xml",
+ "NISTXML-time-minExclusive-4-3.xml",
+ "NISTXML-time-minExclusive-4-2.xml",
+ "NISTXML-time-minExclusive-4-1.xml",
+ "NISTXML-time-minExclusive-3-5.xml",
+ "NISTXML-time-minExclusive-3-4.xml",
+ "NISTXML-time-minExclusive-3-3.xml",
+ "NISTXML-time-minExclusive-3-2.xml",
+ "NISTXML-time-minExclusive-3-1.xml",
+ "NISTXML-time-minExclusive-2-5.xml",
+ "NISTXML-time-minExclusive-2-4.xml",
+ "NISTXML-time-minExclusive-2-3.xml",
+ "NISTXML-time-minExclusive-2-2.xml",
+ "NISTXML-time-minExclusive-2-1.xml",
+ "NISTXML-time-minExclusive-1-5.xml",
+ "NISTXML-time-minExclusive-1-4.xml",
+ "NISTXML-time-minExclusive-1-3.xml",
+ "NISTXML-time-minExclusive-1-2.xml",
+ "NISTXML-time-minExclusive-1-1.xml"],[]}}.
+{'NISTSchema-token',{["NISTXML-token-length-1-1.xml",
+ "NISTXML-token-minLength-1-5.xml",
+ "NISTXML-token-minLength-1-4.xml",
+ "NISTXML-token-minLength-1-3.xml",
+ "NISTXML-token-minLength-1-2.xml",
+ "NISTXML-token-minLength-1-1.xml",
+ "NISTXML-token-maxLength-5-5.xml",
+ "NISTXML-token-maxLength-5-4.xml",
+ "NISTXML-token-maxLength-5-3.xml",
+ "NISTXML-token-maxLength-5-2.xml",
+ "NISTXML-token-maxLength-5-1.xml",
+ "NISTXML-token-maxLength-4-5.xml",
+ "NISTXML-token-maxLength-4-4.xml",
+ "NISTXML-token-maxLength-4-3.xml",
+ "NISTXML-token-maxLength-4-2.xml",
+ "NISTXML-token-maxLength-4-1.xml",
+ "NISTXML-token-maxLength-3-5.xml",
+ "NISTXML-token-maxLength-3-4.xml",
+ "NISTXML-token-maxLength-3-3.xml",
+ "NISTXML-token-maxLength-3-2.xml",
+ "NISTXML-token-maxLength-3-1.xml",
+ "NISTXML-token-maxLength-2-5.xml",
+ "NISTXML-token-maxLength-2-4.xml",
+ "NISTXML-token-maxLength-2-3.xml",
+ "NISTXML-token-maxLength-2-2.xml",
+ "NISTXML-token-maxLength-2-1.xml",
+ "NISTXML-token-maxLength-1-1.xml"],[]}}.
diff --git a/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nisttest.tar.gz b/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nisttest.tar.gz
new file mode 100644
index 0000000000..03d575c268
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_NIST2002-01-16_SUITE_data/nisttest.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE.erl b/lib/xmerl/test/xmerl_xsd_SUITE.erl
new file mode 100644
index 0000000000..bbca4cb7aa
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE.erl
@@ -0,0 +1,1175 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2006-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%% Purpose : Test suite for the ASN.1 application
+
+-module(xmerl_xsd_SUITE).
+
+-compile(export_all).
+%%-export([Function/Arity, ...]).
+
+-include_lib("test_server/include/test_server.hrl").
+%%-include("xmerl.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+
+-import(xmerl_xsd_type,[check_simpleType/3]).
+
+all() ->
+ [{group, type_tests}, {group, facets},
+ {group, misc_block_tests}, {group, validation_tests},
+ {group, ticket_tests}].
+
+groups() ->
+ [{type_tests, [],
+ [{group, primitive_datatypes},
+ {group, derived_datatypes}]},
+ {validation_tests, [],
+ [{group, xmlSchemaPrimerExamples},
+ {group, miscXMLexamples}]},
+ {primitive_datatypes, [],
+ [string, boolean, decimal, float, double, duration,
+ dateTime, time, date, gYearMonth, gYear, gMonthDay,
+ gDay, gMonth, hexBinary, base64Binary, anyURI, 'QName',
+ 'NOTATION']},
+ {derived_datatypes, [],
+ [normalizedString, token, language, 'NMTOKEN',
+ 'NMTOKENS', 'Name', 'NCName', 'ID', 'IDREF', 'IDREFS',
+ 'ENTITY', 'ENTITIES', integer, nonPositiveInteger,
+ negativeInteger, long, int, short, byte,
+ nonNegativeInteger, unsignedLong, unsignedInt,
+ unsignedShort, unsignedByte, positiveInteger]},
+ {xmlSchemaPrimerExamples, [],
+ [po, po1, po2, ipo, ipo_redefine, '4Q99']},
+ {miscXMLexamples, [],
+ [small, complexType1, model_group_all,
+ substitutionGroup, attributeGroup, test_key1, sis1,
+ sis2, gpx, state2file_file2state, union]},
+ {ticket_tests, [],
+ [ticket_6910, ticket_7165, ticket_7190, ticket_7288,
+ ticket_7736, ticket_8599]},
+ {facets, [],
+ [length, minLength, maxLength, pattern, enumeration,
+ whiteSpace, maxInclusive, maxExclusive, minExclusive,
+ minInclusive, totalDigits, fractionDigits]},
+ {misc_block_tests, [],
+ [compare_dateTime, compare_duration]}].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+init_per_testcase(_TestCase,Config) ->
+ {ok, _} =
+ file:read_file_info(filename:join([?config(priv_dir,Config)])),
+ code:add_patha(?config(priv_dir,Config)),
+ Dog=test_server:timetrap({minutes,10}),
+ [{watchdog, Dog}|Config].
+
+end_per_testcase(_Func,Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ ok.
+
+
+string(suite) -> [];
+string(_Config) ->
+ %% #x9 | #xA | #xD | [#x20-#xD7FF] | [#xE000-#xFFFD] | [#x10000-#x10FFFF]
+ Str = [16#9,16#A,16#D,16#20,16#D7FF,16#E000,16#FFFD,16#10000,
+ 16#10FFFF],
+ ?line {ok,_} = check_simpleType(string,Str,dummy).
+
+boolean(suite) -> [];
+boolean(_Config) ->
+ ?line {ok,_} = check_simpleType(boolean,"1",dummy),
+ ?line {ok,_} = check_simpleType(boolean,"0",dummy),
+ ?line {ok,_} = check_simpleType(boolean,"true",dummy),
+ ?line {ok,_} = check_simpleType(boolean,"false",dummy),
+ ?line {error,_Reason} = check_simpleType(boolean,"gurka",dummy).
+
+decimal(suite) -> [];
+decimal(_Config) ->
+ ?line {ok,_} = check_simpleType(decimal,"-1.23",dummy),
+ ?line {ok,_} = check_simpleType(decimal,"12678967.543233",dummy),
+ ?line {ok,_} = check_simpleType(decimal,"+100000.00",dummy),
+ ?line {ok,_} = check_simpleType(decimal,"210",dummy).
+
+float(suite) -> [];
+float(_Config) ->
+ %% -1E4, 1267.43233E12, 12.78e-2, 12 , -0, 0 , INF, -INF, NaN
+ ?line {ok,_} = check_simpleType(float,"-1E4",dummy),
+ ?line {ok,_} = check_simpleType(float,"1267.43233E12",dummy),
+ ?line {ok,_} = check_simpleType(float,"12.78e-2",dummy),
+ ?line {ok,_} = check_simpleType(float,"12",dummy),
+ ?line {ok,_} = check_simpleType(float,"-0",dummy),
+ ?line {ok,_} = check_simpleType(float,"0",dummy),
+ ?line {ok,_} = check_simpleType(float,"INF",dummy),
+ ?line {ok,_} = check_simpleType(float,"-INF",dummy),
+ ?line {ok,_} = check_simpleType(float,"NaN",dummy).
+
+
+double(suite) -> [];
+double(_Config) ->
+ %% -1E4, 1267.43233E12, 12.78e-2, 12 , -0, 0 , INF, -INF, NaN
+ ?line {ok,_} = check_simpleType(double,"-1E4",dummy),
+ ?line {ok,_} = check_simpleType(double,"1267.43233E12",dummy),
+ ?line {ok,_} = check_simpleType(double,"12.78e-2",dummy),
+ ?line {ok,_} = check_simpleType(double,"12",dummy),
+ ?line {ok,_} = check_simpleType(double,"-0",dummy),
+ ?line {ok,_} = check_simpleType(double,"0",dummy),
+ ?line {ok,_} = check_simpleType(double,"INF",dummy),
+ ?line {ok,_} = check_simpleType(double,"-INF",dummy),
+ ?line {ok,_} = check_simpleType(double,"NaN",dummy).
+
+
+duration(suite) -> [];
+duration(_Config) ->
+ %% allowed: P1Y2M3DT10H30M -P120D P1347Y P1347M P1Y2MT2H
+ %% P0Y1347M P0Y1347M0D -P1347M
+ %% not allowed: P-1347M P1Y2MT
+ ?line {ok,_} = check_simpleType(duration,"P1Y2M3DT10H30M",dummy),
+ ?line {ok,_} = check_simpleType(duration,"-P120D",dummy),
+ ?line {ok,_} = check_simpleType(duration,"P1347Y",dummy),
+ ?line {ok,_} = check_simpleType(duration,"P1347M",dummy),
+ ?line {ok,_} = check_simpleType(duration,"P1Y2MT2H",dummy),
+ ?line {ok,_} = check_simpleType(duration,"P0Y1347M",dummy),
+ ?line {ok,_} = check_simpleType(duration,"P0Y1347M0D",dummy),
+ ?line {ok,_} = check_simpleType(duration,"-P1347M",dummy),
+
+ ?line {error,_} = check_simpleType(duration,"P-1347M",dummy),
+ ?line {error,_} = check_simpleType(duration,"P1Y2MT",dummy).
+
+%% '-'? yyyy '-' mm '-' dd 'T' hh ':' mm ':' ss ('.' s+)? (zzzzzz)?
+dateTime(suite) -> [];
+dateTime(_Config) ->
+ %% 2002-10-10T12:00:00-05:00
+ DT1 = "2002-10-10T12:00:00-05:00",
+ ?line {ok,_} = check_simpleType(dateTime,DT1,dummy),
+ DT2 = "2002-10-10T17:00:00Z",
+ ?line {ok,_} = check_simpleType(dateTime,DT2,dummy),
+ %% plus sign prohibited
+ DT3 = "+2002-10-10T17:00:00Z",
+ ?line {error,_Reason3} = check_simpleType(dateTime,DT3,dummy),
+ %% leading zeros when year are more than four digits prohibited
+ DT4 = "002002-10-10T17:00:00Z",
+ ?line {error,_Reason4} = check_simpleType(dateTime,DT4,dummy),
+ DT5 = "1953-12-31T12:10:10.10+12:00",
+ ?line {ok,_} = check_simpleType(dateTime,DT5,dummy).
+
+time(suite) -> [];
+time(_Config) ->
+ %% hh:mm:ss.sss with optional following time zone indicator.
+ T1 = "13:20:00-05:00",
+ ?line {ok,_} = check_simpleType(time,T1,dummy),
+ %% canonical repr. of midnight
+ T2 = "00:00:00",
+ ?line {ok,_} = check_simpleType(time,T2,dummy),
+ T3 = "12:34:56",
+ ?line {ok,_} = check_simpleType(time,T3,dummy),
+ T4 = "12:34:56.552",
+ ?line {ok,_} = check_simpleType(time,T4,dummy),
+ T5 = "12:34:56.552Z",
+ ?line {ok,_} = check_simpleType(time,T5,dummy).
+
+date(suite) -> [];
+date(_Config) ->
+ %% '-'? yyyy '-' mm '-' dd zzzzzz?
+ %% is
+ D1 = "2002-10-10+13:00",
+ ?line {ok,_} = check_simpleType(date,D1,dummy),
+ D2 = "2002-10-09-11:00",
+ ?line {ok,_} = check_simpleType(date,D2,dummy),
+
+ D12 = "+2002-13-09-11:00",
+ ?line {error,_Reason12} = check_simpleType(date,D12,dummy),
+ D13 = "2002-13-09-11:00",
+ ?line {error,_Reason13} = check_simpleType(date,D13,dummy),
+ D14 = "2002-12-39-11:00",
+ ?line {error,_Reason14} = check_simpleType(date,D14,dummy).
+
+gYearMonth(suite) -> [];
+gYearMonth(_Config) ->
+ %% '-'? yyyy '-' mm zzzzzz?
+ GYM1 = "1955-10",
+ ?line {ok,_} = check_simpleType(gYearMonth,GYM1,dummy),
+ GYM2 = "-1955-10",
+ ?line {ok,_} = check_simpleType(gYearMonth,GYM2,dummy),
+ GYM3 = "1955-10Z",
+ ?line {ok,_} = check_simpleType(gYearMonth,GYM3,dummy),
+ GYM4 = "0055-10+10:00",
+ ?line {ok,_} = check_simpleType(gYearMonth,GYM4,dummy),
+ GYM5 = "0955-10Z",
+ ?line {ok,_} = check_simpleType(gYearMonth,GYM5,dummy),
+ GYM6 = "-11955-01",
+ ?line {ok,_} = check_simpleType(gYearMonth,GYM6,dummy),
+
+ ?line {error,_} = check_simpleType(gYearMonth,"+2000-10",dummy),
+ ?line {error,_} = check_simpleType(gYearMonth,"2000-00",dummy),
+ ?line {error,_} = check_simpleType(gYearMonth,"2000-10+10:70",dummy).
+
+gYear(suite) -> [];
+gYear(_Config) ->
+ %% '-'? yyyy zzzzzz?
+ ?line {ok,_} = check_simpleType(gYear,"2000",dummy),
+ ?line {ok,_} = check_simpleType(gYear,"2000-11:30",dummy),
+ ?line {ok,_} = check_simpleType(gYear,"-2000",dummy),
+ ?line {error,_} = check_simpleType(gYear,"0000",dummy).
+
+gMonthDay(suite) -> [];
+gMonthDay(_Config) ->
+ %% mm '-' dd zzzzzz?
+ ?line {ok,_} = check_simpleType(gMonthDay,"--05-03",dummy),
+ ?line {ok,_} = check_simpleType(gMonthDay,"--05-03Z",dummy),
+ ?line {error,_} = check_simpleType(gMonthDay,"05-00",dummy),
+ ?line {error,_} = check_simpleType(gMonthDay,"00-03",dummy),
+ ?line {error,_} = check_simpleType(gMonthDay,"-05-03",dummy).
+
+gDay(suite) -> [];
+gDay(_Config) ->
+ %% dd zzzzzz?
+ ?line {ok,_} = check_simpleType(gDay,"---05",dummy),
+ ?line {ok,_} = check_simpleType(gDay,"---30+03:00",dummy),
+ ?line {error,_} = check_simpleType(gDay,"-30+03:00",dummy),
+ ?line {error,_} = check_simpleType(gDay,"---00+03:00",dummy),
+ ?line {error,_} = check_simpleType(gDay,"---40+03:00",dummy),
+ ?line {error,_} = check_simpleType(gDay,"05",dummy).
+
+gMonth(suite) -> [];
+gMonth(_Config) ->
+ %% mm zzzzzz?
+ ?line {ok,_} = check_simpleType(gMonth,"--05",dummy),
+ ?line {ok,_} = check_simpleType(gMonth,"--10+03:00",dummy),
+ ?line {error,_} = check_simpleType(gMonth,"-10+03:00",dummy),
+ ?line {error,_} = check_simpleType(gMonth,"00+03:00",dummy),
+ ?line {error,_} = check_simpleType(gMonth,"14",dummy),
+ ?line {error,_} = check_simpleType(gMonth,"05",dummy).
+
+
+hexBinary(suite) -> [];
+hexBinary(_Config) ->
+ %% an even number of hexadecimal digits ([0-9a-fA-F]).
+ ?line {ok,_} = check_simpleType(hexBinary,"05",dummy),
+ ?line {ok,_} = check_simpleType(hexBinary,"aF",dummy),
+ ?line {ok,_} = check_simpleType(hexBinary,
+ "0123456789abcdefABCDEF",dummy),
+ ?line {error,_} = check_simpleType(hexBinary,
+ "0123456789absdefABCDEF",dummy),
+ ?line {error,_} = check_simpleType(hexBinary,"aF5",dummy),
+ ?line {error,_} = check_simpleType(hexBinary,"aFG",dummy).
+
+base64Binary(suite) -> [];
+base64Binary(_Config) ->
+ %% a-z, A-Z, 0-9, the plus sign (+), the forward slash (/) and the
+ %% equal sign (=), together with the characters defined in [XML
+ %% 1.0 (Second Edition)] as white space.(16#9, 16#A, 16#D, 16#20)
+ ?line {ok,_} = check_simpleType(base64Binary,"05+/AA==",dummy),
+ ?line {ok,_} = check_simpleType(base64Binary,"05+/AA= =",dummy),
+ ?line {ok,_} = check_simpleType(base64Binary,"05+/A A= =",dummy),
+ ?line {ok,_} = check_simpleType(base64Binary,"05+/ AA= =",dummy),
+ ?line {error,_} = check_simpleType(base64Binary,"05+/AA== ",dummy),
+ B64B1 = "AbCd GhZz 09w=",
+ ?line {ok,_} = check_simpleType(base64Binary,B64B1,dummy),
+ B64B2 = "AbCd GhZ9 0z8 =",
+ ?line {ok,_} = check_simpleType(base64Binary,B64B2,dummy),
+ ?line {ok,_} = check_simpleType(base64Binary,"0z8 =",dummy),
+ ErrB641 = "AbCd GZ9 0z8 =",
+ ?line {error,_} = check_simpleType(base64Binary,ErrB641,dummy).
+
+anyURI(suite) -> [];
+anyURI(_Config) ->
+ URI1 = "ftp://ftp.is.co.za/rfc/rfc1808.txt",
+ URI2 = "gopher://spinaltap.micro.umn.edu/00/Weather/California/Los%20Angeles",
+ URI3 = "http://www.math.uio.no/faq/compression-faq/part1.html",
+ URI4 = "mailto:[email protected]",
+ URI5 = "news:comp.infosystems.www.servers.unix",
+ URI6 = "telnet://melvyl.ucop.edu/",
+ ?line ok=ok_loop(anyURI,[URI1,URI2,URI3,URI4,URI5,URI6]).
+
+
+'QName'(suite) -> [];
+'QName'(_Config) ->
+ %% QName ::= (Prefix ':')? LocalPart
+ %% Prefix ::= NCName
+ %% LocalPart ::= NCName
+ ?line {ok,_} = check_simpleType('QName',"abc:def",dummy),
+ ?line {ok,_} = check_simpleType('QName',"abc",dummy),
+ ?line {ok,_} = check_simpleType('QName',"abc:def:ijk",dummy).
+
+'NOTATION'(suite) -> [];
+'NOTATION'(_Config) ->
+ ?line {ok,_} = check_simpleType('NOTATION',"abc:def",dummy),
+ ?line {ok,_} = check_simpleType('NOTATION',"abc",dummy),
+ ?line {ok,_} = check_simpleType('NOTATION',"abc:def:ijk",dummy).
+
+normalizedString(suite) -> [];
+normalizedString(_Config) ->
+ %% not contain the carriage return (#xD), line feed (#xA) nor tab
+ %% (#x9) characters.
+ NStr1 = "this string is ok with extra space between characters",
+ NotNStr1 = "this string is not normalized \t",
+ NotNStr2 = "neither is this \n string",
+ NotNStr3 = "or this \r string",
+ ?line {ok,_} = check_simpleType(normalizedString,NStr1,dummy),
+ ?line ok=error_loop(normalizedString,[NotNStr1,NotNStr2,NotNStr3]).
+
+token(suite) -> [];
+token(_Config) ->
+ %% not contain the carriage return (#xD), line feed (#xA) nor tab
+ %% (#x9) characters, that have no leading or trailing spaces
+ %% (#x20) and that have no internal sequences of two or more
+ %% spaces.
+ T1 = "this string is tokenized with only single space between characters",
+ NotT1 = "this string is not ok with extra space between characters",
+ NotT2 = " neither leading space",
+ NotT3 = "nor trailing space ",
+ NotT4 = "tabs not \t allowed",
+ NotT5 = "newlines not allowed\n",
+ NotT6 = "or \r (carriage return)",
+ ?line {ok,_} = check_simpleType(token,T1,dummy),
+ ?line ok=error_loop(token,[NotT1,NotT2,NotT3,NotT4,NotT5,NotT6]).
+
+language(suite) -> [];
+language(_Config) ->
+ %% strings that conform to the pattern
+ %% [a-zA-Z]{1,8}(-[a-zA-Z0-9]{1,8})*
+ L = "Abra-cadabra-123",
+ NotL1 = "Abra123-cadabra!",
+ NotL2 = "Abra-",
+ NotL3 = "Abracadabra",
+ NotL4 = "Abra-cadabrrra",
+ ?line {ok,_} = check_simpleType(language,L,dummy),
+ ?line ok=error_loop(language,[NotL1,NotL2,NotL3,NotL4]).
+
+'NMTOKEN'(suite) -> [];
+'NMTOKEN'(_Config) ->
+ N = "name:withoutspace",
+ NotN1 = "name with space",
+ NotN2 = "namewith#strang/chars)",
+ ?line {ok,_} = check_simpleType('NMTOKEN',N,dummy),
+ ?line {error,_} = check_simpleType('NMTOKEN',NotN1,dummy),
+ ?line {error,_} = check_simpleType('NMTOKEN',NotN2,dummy).
+
+'NMTOKENS'(suite) -> [];
+'NMTOKENS'(_Config) ->
+ N1 = "name1 name:2 name:three",
+ NotN1 = "name na%me",
+ ?line {ok,_} = check_simpleType('NMTOKENS',N1,dummy),
+ ?line {error,_} = check_simpleType('NMTOKENS',NotN1,dummy).
+
+'Name'(suite) -> [];
+'Name'(_Config) ->
+ ?line {ok,_} = check_simpleType('Name',"_valid_Name",dummy).
+
+'NCName'(suite) -> [];
+'NCName'(_Config) ->
+ ?line {ok,_} = check_simpleType('NCName',"_valid_Name",dummy).
+
+'ID'(suite) -> [];
+'ID'(_Config) ->
+ ?line {ok,_} = check_simpleType('ID',"_valid_Name",dummy).
+
+'IDREF'(suite) -> [];
+'IDREF'(_Config) ->
+ ?line {ok,_} = check_simpleType('IDREF',"_valid_Name",dummy).
+
+'IDREFS'(suite) -> [];
+'IDREFS'(_Config) ->
+ ?line {ok,_} = check_simpleType('IDREFS',"_valid_Name Name2",dummy).
+
+'ENTITY'(suite) -> [];
+'ENTITY'(_Config) ->
+ ?line {ok,_} = check_simpleType('ENTITY',"_valid_Name",dummy).
+
+'ENTITIES'(suite) -> [];
+'ENTITIES'(_Config) ->
+ ?line {ok,_} = check_simpleType('ENTITIES',"name name3",dummy).
+
+integer(suite) -> [];
+integer(_Config) ->
+ IntList = ["-1", "0", "12678967543233", "+100000"],
+ ?line ok = ok_loop(integer,IntList),
+ ?line {error,_} = check_simpleType(integer,"1.3",dummy).
+
+nonPositiveInteger(suite) -> [];
+nonPositiveInteger(_Config) ->
+ NPIList = ["0", "-12678967543233", "-100000"],
+ ?line ok = ok_loop(nonPositiveInteger,NPIList),
+ ?line {error,_} = check_simpleType(nonPositiveInteger,"1",dummy).
+
+negativeInteger(suite) -> [];
+negativeInteger(_Config) ->
+ NIList = ["-1", "-12678967543233", "-100000"],
+ ?line ok = ok_loop(negativeInteger,NIList),
+ ?line {error,_} = check_simpleType(negativeInteger,"1",dummy),
+ ?line {error,_} = check_simpleType(negativeInteger,"0",dummy).
+
+long(suite) -> [];
+long(_Config) ->
+ L = ["9223372036854775807","-9223372036854775808","-1", "0",
+ "12678967543233", "+100000"],
+ ?line ok = ok_loop(long,L),
+ Err = ["9223372036854775808","-9223372036854775809"],
+ ?line ok = error_loop(long,Err).
+
+int(suite) -> [];
+int(_Config) ->
+ L = ["2147483647", "-2147483648", "-1", "0", "126789675", "+100000"],
+ ?line ok = ok_loop(int,L),
+ Err = ["2147483648", "-2147483649"],
+ ?line ok = error_loop(int,Err).
+
+short(suite) -> [];
+short(_Config) ->
+ L = ["32767", "-32768", "-1", "0", "12678", "+10000"],
+ ?line ok = ok_loop(short,L),
+ Err = ["32768", "-32769"],
+ ?line ok = error_loop(short,Err).
+
+byte(suite) -> [];
+byte(_Config) ->
+ L = ["-1", "0", "126", "+100", "127", "-128"],
+ ?line ok = ok_loop(byte,L),
+ Err = ["128", "-129"],
+ ?line ok = error_loop(byte,Err).
+
+nonNegativeInteger(suite) -> [];
+nonNegativeInteger(_Config) ->
+ L = ["1", "0", "12678967543233", "+100000"],
+ ?line ok = ok_loop(nonNegativeInteger,L),
+ ?line {error,_} = check_simpleType(nonNegativeInteger,"-1",dummy).
+
+unsignedLong(suite) -> [];
+unsignedLong(_Config) ->
+ L = ["0", "12678967543233", "100000", "18446744073709551615"],
+ ?line ok = ok_loop(unsignedLong,L),
+ Err = ["-1","18446744073709551616"],
+ ?line ok = error_loop(unsignedLong,Err).
+
+unsignedInt(suite) -> [];
+unsignedInt(_Config) ->
+ L = ["4294967295", "0", "1267896754", "100000"],
+ ?line ok = ok_loop(unsignedInt,L),
+ Err = ["-1","4294967296"],
+ ?line ok = error_loop(unsignedInt,Err).
+
+unsignedShort(suite) -> [];
+unsignedShort(_Config) ->
+ L = ["65535", "0", "12678", "10000"],
+ ?line ok = ok_loop(unsignedShort,L),
+ Err = ["-1","65536"],
+ ?line ok = error_loop(unsignedShort,Err).
+
+unsignedByte(suite) -> [];
+unsignedByte(_Config) ->
+ L = ["255", "0", "126", "100"],
+ ?line ok = ok_loop(unsignedByte,L),
+ Err = ["-1","256"],
+ ?line ok = error_loop(unsignedByte,Err).
+
+positiveInteger(suite) -> [];
+positiveInteger(_Config) ->
+ L = ["1", "12678967543233", "+100000"],
+ ?line ok = ok_loop(positiveInteger,L),
+ Err = ["-1","0"],
+ ?line ok = error_loop(positiveInteger,Err).
+
+
+
+ok_loop(_Type,[]) ->
+ ok;
+ok_loop(Type,[H|T]) ->
+ ?line {ok,_} = check_simpleType(Type,H,dummy),
+ ok_loop(Type,T).
+
+error_loop(_T,[]) ->
+ ok;
+error_loop(Type,[H|T]) ->
+ ?line {error,_} = check_simpleType(Type,H,dummy),
+ error_loop(Type,T).
+
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+%% Testing facets
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+
+
+
+length(suite) -> [];
+length(_Config) ->
+ ?line {ok,"string"} =
+ (xmerl_xsd_type:facet_fun(string,{length,"6"}))("string"),
+ ?line {error,{length,12,should_be,6}} =
+ (xmerl_xsd_type:facet_fun(string,{length,"6"}))("stringstring"),
+ ok.
+
+minLength(suite) -> [];
+minLength(_Config) ->
+ ?line {ok,"string"} =
+ (xmerl_xsd_type:facet_fun(string,{minLength,"6"}))("string"),
+ ?line {error,{minLength,3,should_at_least_be,6}} =
+ (xmerl_xsd_type:facet_fun(string,{minLength,"6"}))("str"),
+ ok.
+
+maxLength(suite) -> [];
+maxLength(_Config) ->
+ ?line {ok,"string"} =
+ (xmerl_xsd_type:facet_fun(string,{maxLength,"6"}))("string"),
+ ?line {error,{maxLength,12,should_not_be_more_than,6}} =
+ (xmerl_xsd_type:facet_fun(string,{maxLength,"6"}))("stringstring"),
+ ok.
+
+pattern(suite) -> [];
+pattern(_Config) ->
+ RE1 = "[a-z]{5}",
+ ?line {ok,"calle"} =
+ (xmerl_xsd_type:facet_fun(string,{pattern,RE1}))
+ ("calle"),
+ ?line {error,{pattern_mismatch,"cal",RE1}} =
+ (xmerl_xsd_type:facet_fun(string,{pattern,RE1}))
+ ("cal"),
+ RE2 = "[A-Z]{2}\\d\\s\\d[A-Z]{2}",
+ ?line {ok,"AY2 3BC"} =
+ (xmerl_xsd_type:facet_fun(string,{pattern,RE2}))
+ ("AY2 3BC"),
+ ?line {error,{pattern_mismatch,"AY23BC",RE2}} =
+ (xmerl_xsd_type:facet_fun(string,{pattern,RE2}))
+ ("AY23BC").
+
+enumeration(suite) -> [];
+enumeration(_Config) ->
+ ?line {ok,"tomat"} =
+ (xmerl_xsd_type:facet_fun(string,{enumeration,["gurka","tomat","sallad"]}))("tomat"),
+ ?line {error,{enumeration,"morot",should_be_one_of,["gurka","tomat","sallad"]}} =
+ (xmerl_xsd_type:facet_fun(string,{enumeration,["gurka","tomat","sallad"]}))("morot"),
+ ok.
+
+whiteSpace(suite) -> [];
+whiteSpace(_Config) ->
+ ?line {ok,"gur ka"} = (xmerl_xsd_type:facet_fun(string,{whiteSpace,"collapse"}))(" gur\tka "),
+ ?line {ok," gur ka "} = (xmerl_xsd_type:facet_fun(string,{whiteSpace,"replace"}))(" gur\nka\t"),
+ ?line {ok," gurk\na\t"} = (xmerl_xsd_type:facet_fun(string,{whiteSpace,"preserve"}))(" gurk\na\t"),
+ ok.
+
+maxInclusive(suite) -> [];
+maxInclusive(_Config) ->
+ ?line {error,{maxInclusive,"3",should_be_less_than_or_equal_with,"2"}} =
+ (xmerl_xsd_type:facet_fun(integer,{maxInclusive,"2"}))("3"),
+
+ ?line {error,{maxInclusive,"3",should_be_less_than_or_equal_with,"2"}} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxInclusive,"2"}))("3"),
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxInclusive,"2.234"}))("2.235"),
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxInclusive,"-2.222"}))("-2.221"),
+
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(double,{maxInclusive,"2.333"}))("INF"),
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(double,{maxInclusive,"1E3"}))("1001"),
+
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(float,{maxInclusive,"-0.1"}))("-0"),
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(float,{maxInclusive,"0"}))("0.01"),
+
+ ?line {ok,"3"} = (xmerl_xsd_type:facet_fun(integer,{maxInclusive,"3"}))("3"),
+
+ ?line {ok,"+100000.00"} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxInclusive,"1E6"}))("+100000.00"),
+ ?line {ok,"12678967.543222"} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxInclusive,"12678967.543233"}))("12678967.543222"),
+
+ ?line {ok,"3.2E-11"} =
+ (xmerl_xsd_type:facet_fun(double,{maxInclusive,"2E-10"}))("3.2E-11"),
+ ?line {ok,"10E20"} =
+ (xmerl_xsd_type:facet_fun(double,{maxInclusive,"INF"}))("10E20"),
+ ?line {ok,"0.127"} =
+ (xmerl_xsd_type:facet_fun(double,{maxInclusive,"12.78e-2"}))("0.127"),
+
+ ?line {ok,"1267.43233E12"} = (xmerl_xsd_type:facet_fun(float,{maxInclusive,"1267.43233E12"}))("1267.43233E12"),
+ ?line {ok,"34E-26"} = (xmerl_xsd_type:facet_fun(float,{maxInclusive,"33E-25"}))("34E-26"),
+
+ ?line {ok,"2007-10-26T12:00:00+03:00"} =
+ (xmerl_xsd_type:facet_fun(dateTime,{maxInclusive,"2007-10-26T12:00:00+03:00"}))("2007-10-26T12:00:00+03:00"),
+ ?line {ok,"2007-10-26T11:00:00+03:00"} =
+ (xmerl_xsd_type:facet_fun(dateTime,{maxInclusive,"2007-10-26T12:00:00+03:00"}))("2007-10-26T11:00:00+03:00"),
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(dateTime,{maxInclusive,"2007-10-26T12:00:00+03:00"}))("2007-10-26T12:00:00"),
+
+ ?line {ok,"P1Y2M3DT10H30M"} =
+ (xmerl_xsd_type:facet_fun(duration,{maxInclusive,"P1Y2M4D"}))("P1Y2M3DT10H30M"),
+ ?line {error,{maxInclusive,_,should_be_less_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(duration,{maxInclusive,"P1Y2M3DT10H"}))("P1Y2M3DT10H30M"),
+ ok.
+
+maxExclusive(suite) -> [];
+maxExclusive(_Config) ->
+ ?line {error,{maxExclusive,"2",not_less_than,"2"}} =
+ (xmerl_xsd_type:facet_fun(integer,{maxExclusive,"2"}))("2"),
+ ?line {error,{maxExclusive,"-19999",not_less_than,"-20000"}} =
+ (xmerl_xsd_type:facet_fun(integer,{maxExclusive,"-20000"}))("-19999"),
+
+ ?line {error,{maxExclusive,"3.0000",not_less_than,"2.9999"}} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxExclusive,"2.9999"}))("3.0000"),
+ ?line {error,{maxExclusive,_,not_less_than,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxExclusive,"2.234"}))("2.234"),
+ ?line {error,{maxExclusive,_,not_less_than,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxExclusive,"-2.22222222"}))("-2.22222222"),
+
+ ?line {error,{maxExclusive,_,not_less_than,_}} =
+ (xmerl_xsd_type:facet_fun(double,{maxExclusive,"2.333E23"}))("INF"),
+ ?line {error,{maxExclusive,_,not_less_than,_}} =
+ (xmerl_xsd_type:facet_fun(double,{maxExclusive,"1E3"}))("1000"),
+ ?line {error,{maxExclusive,_,not_less_than,_}} =
+ (xmerl_xsd_type:facet_fun(double,{maxExclusive,"1E-13"}))("0.999E-12"),
+
+ ?line {error,{maxExclusive,_,not_less_than,_}} =
+ (xmerl_xsd_type:facet_fun(float,{maxExclusive,"-0.1"}))("-0.01E1"),
+ ?line {error,{maxExclusive,_,not_less_than,_}} =
+ (xmerl_xsd_type:facet_fun(float,{maxExclusive,"-1E-1"}))("-0"),
+
+ ?line {ok,"-4"} = (xmerl_xsd_type:facet_fun(integer,{maxExclusive,"3"}))("-4"),
+
+ ?line {ok,"+100000.00"} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxExclusive,"1E6"}))("+100000.00"),
+ %% must support 18 digits
+ ?line {ok,"12678967.5432323456"} =
+ (xmerl_xsd_type:facet_fun(decimal,{maxExclusive,"12678967.5432323457"}))("12678967.5432323456"),
+
+ ?line {ok,"3.2E-11"} =
+ (xmerl_xsd_type:facet_fun(double,{maxExclusive,"2E-10"}))("3.2E-11"),
+ ?line {ok,"10E20"} =
+ (xmerl_xsd_type:facet_fun(double,{maxExclusive,"INF"}))("10E20"),
+ ?line {ok,"0.127"} =
+ (xmerl_xsd_type:facet_fun(double,{maxExclusive,"12.78e-2"}))("0.127"),
+
+ ?line {ok,"1267.43233E11"} = (xmerl_xsd_type:facet_fun(float,{maxExclusive,"1267.43233E12"}))("1267.43233E11"),
+ ?line {ok,"34E-26"} = (xmerl_xsd_type:facet_fun(float,{maxExclusive,"33E-25"}))("34E-26"),
+
+ ?line {ok,"P1Y2M3DT10H30M"} = (xmerl_xsd_type:facet_fun(duration,{maxExclusive,"P1Y2M4D"}))("P1Y2M3DT10H30M"),
+
+ ?line {ok,"2006-09-06T19:17:45Z"} = (xmerl_xsd_type:facet_fun(dateTime,{maxExclusive,"2006-09-06T19:17:46Z"}))("2006-09-06T19:17:45Z"),
+ ok.
+
+minExclusive(suite) -> [];
+minExclusive(_Config) ->
+ ?line {error,{minExclusive,"2",not_greater_than,"2"}} =
+ (xmerl_xsd_type:facet_fun(integer,{minExclusive,"2"}))("2"),
+ ?line {error,{minExclusive,"-20001",not_greater_than,"-20000"}} =
+ (xmerl_xsd_type:facet_fun(integer,{minExclusive,"-20000"}))("-20001"),
+
+ ?line {error,{minExclusive,"2.9999",not_greater_than,"2.9999"}} =
+ (xmerl_xsd_type:facet_fun(decimal,{minExclusive,"2.9999"}))("2.9999"),
+ ?line {error,{minExclusive,_,not_greater_than,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{minExclusive,"-123456789.123456788"}))("-123456789.123456789"),
+ ?line {error,{minExclusive,_,not_greater_than,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{minExclusive,"-2.222222000"}))("-2.22222222"),
+
+ ?line {error,{minExclusive,_,not_greater_than,_}} =
+ (xmerl_xsd_type:facet_fun(double,{minExclusive,"INF"}))("2.333E23"),
+ ?line {error,{minExclusive,_,not_greater_than,_}} =
+ (xmerl_xsd_type:facet_fun(double,{minExclusive,"1E3"}))("1000"),
+ ?line {error,{minExclusive,_,not_greater_than,_}} =
+ (xmerl_xsd_type:facet_fun(double,{minExclusive,"1E-13"}))("0.999E-14"),
+
+ ?line {error,{minExclusive,_,not_greater_than,_}} =
+ (xmerl_xsd_type:facet_fun(float,{minExclusive,"-0.1"}))("-0.01E1"),
+ ?line {error,{minExclusive,_,not_greater_than,_}} =
+ (xmerl_xsd_type:facet_fun(float,{minExclusive,"-0"}))("-1E-1"),
+
+ ?line {ok,"4"} = (xmerl_xsd_type:facet_fun(integer,{minExclusive,"-3"}))("4"),
+
+ ?line {ok,"+1000001.00"} =
+ (xmerl_xsd_type:facet_fun(decimal,{minExclusive,"1E6"}))("+1000001.00"),
+ %% must support 18 digits
+ ?line {ok,"12678967.5432323456"} =
+ (xmerl_xsd_type:facet_fun(decimal,{minExclusive,"12678967.54323234555"}))("12678967.5432323456"),
+
+ ?line {ok,"3.2E-11"} =
+ (xmerl_xsd_type:facet_fun(double,{minExclusive,"2E-12"}))("3.2E-11"),
+ ?line {ok,"10E20"} =
+ (xmerl_xsd_type:facet_fun(double,{minExclusive,"-INF"}))("10E20"),
+ ?line {ok,"0.1279"} =
+ (xmerl_xsd_type:facet_fun(double,{minExclusive,"12.78e-2"}))("0.1279"),
+
+ ?line {ok,"126743.233E11"} = (xmerl_xsd_type:facet_fun(float,{minExclusive,"1267.43233E12"}))("126743.233E11"),
+ ?line {ok,"34E-26"} = (xmerl_xsd_type:facet_fun(float,{minExclusive,"33E-27"}))("34E-26"),
+
+ ?line {ok,"P1Y2M3DT10H30M"} = (xmerl_xsd_type:facet_fun(duration,{minExclusive,"P1Y2M3D"}))("P1Y2M3DT10H30M"),
+
+ ?line {ok,"2006-09-06T19:17:45Z"} = (xmerl_xsd_type:facet_fun(dateTime,{minExclusive,"2006-09-06T19:17:44Z"}))("2006-09-06T19:17:45Z"),
+ ok.
+
+minInclusive(suite) -> [];
+minInclusive(_Config) ->
+ ?line {error,{minInclusive,"1",not_greater_than_or_equal_with,"2"}} =
+ (xmerl_xsd_type:facet_fun(integer,{minInclusive,"2"}))("1"),
+ ?line {error,{minInclusive,"-20001",not_greater_than_or_equal_with,
+ "-20000"}} =
+ (xmerl_xsd_type:facet_fun(integer,{minInclusive,"-20000"}))("-20001"),
+
+ ?line {error,{minInclusive,"2.9999",not_greater_than_or_equal_with,
+ "2.99999"}} =
+ (xmerl_xsd_type:facet_fun(decimal,{minInclusive,"2.99999"}))("2.9999"),
+ ?line {error,{minInclusive,_,not_greater_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{minInclusive,"-123456789.123456788"}))("-123456789.123456789"),
+ ?line {error,{minInclusive,_,not_greater_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(decimal,{minInclusive,"-2.222222000"}))("-2.22222222"),
+
+ ?line {error,{minInclusive,_,not_greater_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(double,{minInclusive,"2.333E23"}))("-INF"),
+ ?line {error,{minInclusive,_,not_greater_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(double,{minInclusive,"1E3"}))("100"),
+ ?line {error,{minInclusive,_,not_greater_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(double,{minInclusive,"1E-13"}))("0.999E-14"),
+
+ ?line {error,{minInclusive,_,not_greater_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(float,{minInclusive,"-0.1"}))("-0.1E1"),
+ ?line {error,{minInclusive,_,not_greater_than_or_equal_with,_}} =
+ (xmerl_xsd_type:facet_fun(float,{minInclusive,"-0"}))("-1E-1"),
+ ?line {error,_}=(xmerl_xsd_type:facet_fun(float,{minInclusive,"10E-10"}))("10E-11"),
+
+ ?line {ok,"4"} = (xmerl_xsd_type:facet_fun(integer,{minInclusive,"-3"}))("4"),
+
+ ?line {ok,"+1000000.00"} =
+ (xmerl_xsd_type:facet_fun(decimal,{minInclusive,"1E6"}))("+1000000.00"),
+ %% must support 18 digits
+ ?line {ok,"12678967.5432323456"} =
+ (xmerl_xsd_type:facet_fun(decimal,{minInclusive,"12678967.54323234555"}))("12678967.5432323456"),
+
+ ?line {ok,"3.2E-11"} =
+ (xmerl_xsd_type:facet_fun(double,{minInclusive,"2E-12"}))("3.2E-11"),
+ ?line {ok,"10E20"} =
+ (xmerl_xsd_type:facet_fun(double,{minInclusive,"-INF"}))("10E20"),
+ ?line {ok,"0.1279"} =
+ (xmerl_xsd_type:facet_fun(double,{minInclusive,"12.78e-2"}))("0.1279"),
+
+ ?line {ok,"126743.233E11"} = (xmerl_xsd_type:facet_fun(float,{minInclusive,"1267.43233E12"}))("126743.233E11"),
+ ?line {ok,"34E-26"} = (xmerl_xsd_type:facet_fun(float,{minInclusive,"33E-27"}))("34E-26"),
+ ?line {ok,"34E-26"} = (xmerl_xsd_type:facet_fun(float,{minInclusive,"340E-27"}))("34E-26"),
+
+ ?line {ok,"P1Y2M3DT10H30M"} = (xmerl_xsd_type:facet_fun(duration,{minInclusive,"P1Y2M3D"}))("P1Y2M3DT10H30M"),
+
+ ?line {ok,"2006-09-06T19:17:45Z"} = (xmerl_xsd_type:facet_fun(dateTime,{minInclusive,"2006-09-06T19:17:45Z"}))("2006-09-06T19:17:45Z"),
+ ok.
+
+totalDigits(suite) -> [];
+totalDigits(_Config) ->
+ ?line {error,{totalDigits,4,to_many_digits}} =
+ (xmerl_xsd_type:facet_fun(integer,{totalDigits,"3"}))("3456"),
+ ?line {error,{totalDigits,4,to_many_digits}} =
+ (xmerl_xsd_type:facet_fun(decimal,{totalDigits,"3"}))("00345.600"),
+
+ ?line {ok,"555"} =
+ (xmerl_xsd_type:facet_fun(integer,{totalDigits,"3"}))("555"),
+ ?line {ok,"555"} =
+ (xmerl_xsd_type:facet_fun(integer,{totalDigits,"7"}))("555"),
+ ?line {ok,"555.555"} =
+ (xmerl_xsd_type:facet_fun(decimal,{totalDigits,"7"}))("555.555"),
+ ?line {ok,"555.555000000"} =
+ (xmerl_xsd_type:facet_fun(decimal,{totalDigits,"7"}))("555.555000000"),
+ ok.
+
+fractionDigits(suite) -> [];
+fractionDigits(_Config) ->
+ ?line {error,{fractionDigits,3,to_many_digits_in,"555.555000000"}} =
+ (xmerl_xsd_type:facet_fun(decimal,{fractionDigits,"2"}))("555.555000000"),
+ ?line {error,{fractionDigits,6,to_many_digits_in,"555.555001"}} =
+ (xmerl_xsd_type:facet_fun(decimal,{fractionDigits,"5"}))("555.555001"),
+
+ ?line {ok,"555.55500"} =
+ (xmerl_xsd_type:facet_fun(decimal,{fractionDigits,"5"}))("555.55500"),
+ ?line {ok,"555"} =
+ (xmerl_xsd_type:facet_fun(decimal,{fractionDigits,"5"}))("555"),
+ ?line {ok,"555.000"} =
+ (xmerl_xsd_type:facet_fun(decimal,{fractionDigits,"0"}))("555.000"),
+
+ ?line {ok,"555"} =
+ (xmerl_xsd_type:facet_fun(integer,{fractionDigits,"0"}))("555"),
+ ok.
+
+%% some block testing of dateTime and duration comparisons
+compare_dateTime(suite) -> [];
+compare_dateTime(_Config) ->
+ %% comparison results according to table in section 3.2.7.4 of XML
+ %% Schema part 2
+ ?line lt = xmerl_xsd_type:compare_dateTime("2000-01-15T00:00:00",
+ "2000-02-15T00:00:00"),
+ ?line gt = xmerl_xsd_type:compare_dateTime("2000-02-15T00:00:00",
+ "2000-01-15T00:00:00"),
+
+ ?line lt = xmerl_xsd_type:compare_dateTime("2000-01-15T12:00:00",
+ "2000-01-16T12:00:00Z"),
+ ?line gt = xmerl_xsd_type:compare_dateTime("2000-01-16T12:00:00Z",
+ "2000-01-15T12:00:00"),
+
+ ?line indefinite = xmerl_xsd_type:compare_dateTime("2000-01-01T12:00:00",
+ "1999-12-31T23:00:00Z"),
+ ?line indefinite = xmerl_xsd_type:compare_dateTime("1999-12-31T23:00:00Z",
+ "2000-01-01T12:00:00"),
+
+ ?line indefinite = xmerl_xsd_type:compare_dateTime("2000-01-16T12:00:00",
+ "2000-01-16T12:00:00Z"),
+ ?line indefinite = xmerl_xsd_type:compare_dateTime("2000-01-16T12:00:00Z",
+ "2000-01-16T12:00:00"),
+
+ ?line indefinite = xmerl_xsd_type:compare_dateTime("2000-01-16T00:00:00",
+ "2000-01-16T12:00:00Z"),
+ ?line indefinite = xmerl_xsd_type:compare_dateTime("2000-01-16T12:00:00Z",
+ "2000-01-16T00:00:00"),
+
+ %% example in appendix E.1 in XML Schema part 2.
+ ?line {2001,4,17,19,23,17.3000,{pos,0,0}} =
+ xmerl_xsd_type:add_duration2dateTime("2000-01-12T12:13:14Z",
+ "P1Y3M5DT7H10M3.3S").
+
+compare_duration(suite) -> [];
+compare_duration(_Config) ->
+ %% order relations according to section 3.2.6.2 in XML Schema
+ %% part2.
+ ?line gt = xmerl_xsd_type:compare_durations("P1Y","P364D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P1Y","P365D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P1Y","P366D"),
+ ?line lt = xmerl_xsd_type:compare_durations("P1Y","P367D"),
+
+ ?line gt = xmerl_xsd_type:compare_durations("P1M","P27D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P1M","P28D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P1M","P29D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P1M","P30D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P1M","P31D"),
+ ?line lt = xmerl_xsd_type:compare_durations("P1M","P32D"),
+
+ ?line gt = xmerl_xsd_type:compare_durations("P5M","P149D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P5M","P150D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P5M","P151D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P5M","P152D"),
+ ?line indefinite = xmerl_xsd_type:compare_durations("P5M","P153D"),
+ ?line lt = xmerl_xsd_type:compare_durations("P5M","P154D").
+
+
+po(suite) -> [];
+po(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po.xml"]),[]),
+ ?line {E,_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po.xsd"]),E,[]).
+
+po1(suite) -> [];
+po1(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po1.xml"]),[]),
+ ?line {E2,_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po1.xsd"]),E,[]),
+ ?line ok = xmerl_test_lib:cmp_element(E,E2).
+
+po2(suite) -> [];
+po2(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po2.xml"]),[]),
+ ?line {E2,_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po1.xsd"]),E,[]),
+ ?line ok = xmerl_test_lib:cmp_element(E,E2).
+
+ipo(suite) -> [];
+ipo(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "ipo.xml"]),[]),
+ ?line {VE,_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "ipo.xsd"]),E,[]),
+ ?line ok = xmerl_test_lib:cmp_element(E,VE).
+
+ipo_redefine(suite) -> [];
+ipo_redefine(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "ipo_redefine.xml"]),[]),
+ ?line {VE,_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "ipo_redefine.xsd"]),E,[]),
+ ?line ok = xmerl_test_lib:cmp_element(E,VE).
+
+'4Q99'(suite) -> [];
+'4Q99'(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "4Q99.xml"]),[]),
+ %% the import in report.xsd lacks schemaLocation, so the imported
+ %% namespace definitions have to be loaded separately.
+ ?line {ok,S} =
+ xmerl_xsd:process_schema(filename:join([?config(data_dir,Config),
+ "ipo.xsd"])),
+ ?line {VE,_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "report.xsd"]),E,[{state,S}]),
+ ?line ok = xmerl_test_lib:cmp_element(E,VE),
+
+ %% report2.xsd has an import element with a schemaLocation attribute
+ ?line {VE,_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "report2.xsd"]),E,[]).
+
+small(suite) -> [];
+small(Config) ->
+ ?line {E=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "small.xml"]),[]),
+ ?line {VE=#xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "small.xsd"]),E,[]),
+ ?line #xmlElement{attributes=Atts,content=C} = VE,
+ ?line C = E#xmlElement.content,
+ %% The attribute orderStatus with default value was absent in small.xml
+
+ %% Test of validation "on the fly" when parsing XML.
+ ?line {VE,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "small.xml"]),
+ [{validation,schema},
+ {schemaLocation,[{"small",filename:join(?config(data_dir,Config),"small.xsd")}]}]),
+ ?line {VE,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "small.xml"]),
+ [{validation,schema}]),
+ ?line true = lists:keymember(orderStatus,#xmlAttribute.name,Atts).
+
+complexType1(suite) -> [];
+complexType1(Config) ->
+ ?line {E1=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "complexTypes1.xml"]),[]),
+ ?line {VE1=#xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "complexTypes.xsd"]),E1,[]),
+ ?line ok = xmerl_test_lib:cmp_element(E1,VE1),
+
+ ?line {E2=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "complexTypes2.xml"]),[]),
+ ?line {VE2=#xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "complexTypes.xsd"]),E2,[]),
+ ?line ok = xmerl_test_lib:cmp_element(E2,VE2).
+
+model_group_all(suite) -> [];
+model_group_all(Config) ->
+ ?line {E=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po1.xml"]),[]),
+ ?line {E,_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po1_all.xsd"]),E,[]),
+
+ ?line {E1=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po1_all1.xml"]),[]),
+ ?line {E1,_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po1_all.xsd"]),E1,[]),
+
+ ?line {E2=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po1_all2.xml"]),[]),
+ ?line {E2,_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po1_all.xsd"]),E2,[]),
+
+ ?line {E3=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po1_all_err1.xml"]),[]),
+ ?line {error,_Reason1} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po1_all.xsd"]),E3,[]),
+
+
+ ?line {E4=#xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po1_all_err2.xml"]),[]),
+ ?line {error,_Reason2} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po1_all.xsd"]),E4,[]).
+
+substitutionGroup(suite) -> [];
+substitutionGroup(Config) ->
+ ?line {E,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "ipo_substGroup.xml"]),[]),
+ ?line {E,_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "ipo_substGroup.xsd"]),E,[]).
+attributeGroup(suite) -> [];
+attributeGroup(Config) ->
+ ?line {E,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po_attrGroup.xml"]),[]),
+ ?line {E,_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "po_attrGroup.xsd"]),E,[]).
+test_key1(suite) -> [];
+test_key1(Config) ->
+ ?line {E,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "vehicle2.xml"]),[]),
+ ?line {E,_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "vehicle.xsd"]),E,[]),
+
+ ?line {E2,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "vehicle.xml"]),[]),
+ ?line {error,L2} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "vehicle.xsd"]),E2,[]),
+ ?line 10 = erlang:length(L2),
+
+ ?line {E3 = #xmlElement{},_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "vehicle3.xml"]),[]),
+ ?line {E3 = #xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "vehicle.xsd"]),E3,[]).
+
+sis1(suite) -> [];
+sis1(Config) ->
+ ?line {E,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),sis,
+ "instance.xml"]),[]),
+ ?line {#xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),sis,
+ "IntegratedSite.xsd"]),E,[]).
+sis2(suite) -> [];
+sis2(Config) ->
+ ?line {BS_E,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),sis,
+ "bs_mim.xml"]),[]),
+ ?line {SW_E,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),sis,
+ "swm_mim.xml"]),[]),
+ ?line {HW_E,_} =
+ xmerl_scan:file(filename:join([?config(data_dir,Config),sis,
+ "hwm_mim.xml"]),[]),
+
+ ?line {#xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),sis,
+ "mim.xsd"]),BS_E,[]),
+ ?line {#xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),sis,
+ "mim.xsd"]),SW_E,[]),
+ ?line {#xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),sis,
+ "mim.xsd"]),HW_E,[]).
+
+gpx(suite) -> [];
+gpx(Config) ->
+% case application:start(inets) of
+% ok ->
+% http:set_options([{proxy, {{"www-proxy.ericsson.se", 8080},
+% ["localhost"]}}]),
+% URI = "http://www.topografix.com/GPX/Private/TopoGrafix/0/2/topografix.xsd",
+% case http:request(get, {URI, []}, [], []) of
+% {ok,{{_Version, 200, _ReasonPhrase}, _Headers, _Body}} ->
+% XML = filename:join([?config(data_dir,Config),gpx,
+% "clementine_loop.gpx"]),
+% ?line {E=#xmlElement{},_} = xmerl_scan:file(XML),
+% code:add_patha(filename:join([?config(data_dir,Config),
+% "../proprietary"])),
+% Schema = filename:join([?config(data_dir,Config),gpx,
+% "gpx.xsd"]),
+% ?line {E,_} =
+% xmerl_xsd:process_validate(Schema,E,
+% [{fetch_fun,fun mylib:fetch/2}]);
+% _ ->
+% {skip,no_connection}
+% end;
+% _ ->
+% {skip,no_connection}
+% end.
+ {skip,["GPS eXchange Format is skipped for now"]}.
+
+state2file_file2state(suite) -> [];
+state2file_file2state(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "po.xml"]),[]),
+ ?line {ok,S} = xmerl_xsd:process_schema(filename:join([?config(data_dir,Config),"po.xsd"])),
+ ?line {E,_} = xmerl_xsd:validate(E,S),
+ ?line ok = xmerl_xsd:state2file(S),
+ ?line {ok,S} = xmerl_xsd:file2state(filename:join([?config(data_dir,Config),"po.xss"])),
+ ?line {E,_} = xmerl_xsd:validate(E,S),
+
+ ?line ok = xmerl_xsd:state2file(S,filename:join([?config(data_dir,Config),"po_state"])),
+ ?line {ok,S} = xmerl_xsd:file2state(filename:join([?config(data_dir,Config),"po_state.xss"])),
+
+ ?line {E,_} = xmerl_xsd:validate(E,S).
+
+
+union(suite) -> [];
+union(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "instance.xml"])),
+
+ ?line {_E2 = #xmlElement{},_} = xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),"measCollec.xsd"]),E).
+
+
+ticket_6910(suite) -> [];
+ticket_6910(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ sis,"dummy_action_mim.xml"])),
+ ?line {_E2 = #xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ sis,"mim2.xsd"]),E).
+ticket_7165(suite) -> [];
+ticket_7165(Config) ->
+ %% The validation option seems not to work
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "ticket_7288.xml"]),
+ [{validation, schema}]),
+ %% The option xsdbase gave {error, enoent}.
+ ?line {ok,_} = xmerl_xsd:process_schema("CxDataType_Rel5.xsd", [{xsdbase, ?config(data_dir,Config)}]).
+
+
+
+ticket_7190(suite) -> [];
+ticket_7190(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),
+ "int.xml"])),
+ ?line {_E2 = #xmlElement{},_} =
+ xmerl_xsd:process_validate(filename:join([?config(data_dir,Config),
+ "simple_int.xsd"]),E).
+ticket_7288(suite) -> [];
+ticket_7288(Config) ->
+ %% The schema table in the state where deleted by xmerl_xsd:validate if there was an error.
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),"ticket_7288.xml"])),
+
+ ?line {ok,S} = xmerl_xsd:process_schema(filename:join([?config(data_dir,Config),"CxDataType_Rel5.xsd"])),
+
+ ?line {error, EL} = xmerl_xsd:validate(E, S),
+
+ ?line {error, EL} = xmerl_xsd:validate(E, S).
+
+ticket_7736(suite) -> [];
+ticket_7736(Config) ->
+ DataDir = ?config(data_dir,Config),
+ ?line {ok, State } =
+ xmerl_xsd:process_schema(filename:join([DataDir,"enum_bug.xsd"])),
+
+ ?line {Entity ,_} =
+ xmerl_scan:file(filename:join([DataDir,"enum_bug.xml"])),
+
+ ?line {#xmlElement{},_} = xmerl_xsd:validate(Entity, State).
+
+ticket_8599(suite) -> [];
+ticket_8599(Config) ->
+ ?line {E,_} = xmerl_scan:file(filename:join([?config(data_dir,Config),"ticket_8599.xml"])),
+
+ ?line {ok, S} = xmerl_xsd:process_schema(filename:join([?config(data_dir,Config),"ticket_8599.xsd"])),
+
+ ?line {{xmlElement,persons,persons,_,_,_,_,_,_,_,_,_},_GlobalState} = xmerl_xsd:validate(E, S).
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/4Q99.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/4Q99.xml
new file mode 100644
index 0000000000..a0c4df72bd
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/4Q99.xml
@@ -0,0 +1,25 @@
+<purchaseReport
+ xmlns="http://www.example.com/Report"
+ period="P3M" periodEnding="1999-12-31">
+
+ <regions>
+ <zip code="95819">
+ <part number="872-AA" quantity="1"/>
+ <part number="926-AA" quantity="1"/>
+ <part number="833-AA" quantity="1"/>
+ <part number="455-BX" quantity="1"/>
+ </zip>
+ <zip code="63143">
+ <part number="455-BX" quantity="4"/>
+ </zip>
+ </regions>
+
+ <parts>
+ <part number="872-AA">Lawnmower</part>
+ <part number="926-AA">Baby Monitor</part>
+ <part number="833-AA">Lapis Necklace</part>
+ <part number="455-BX">Sturdy Shelves</part>
+ </parts>
+
+</purchaseReport>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/CxDataType_Rel5.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/CxDataType_Rel5.xsd
new file mode 100644
index 0000000000..8a26fd1492
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/CxDataType_Rel5.xsd
@@ -0,0 +1,211 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified" attributeFormDefault="unqualified">
+ <xs:simpleType name="tPriority" final="list restriction">
+ <xs:restriction base="xs:int">
+ <xs:minInclusive value="0"/>
+ </xs:restriction>
+ </xs:simpleType>
+ <xs:simpleType name="tProfilePartIndicator" final="list restriction">
+ <xs:restriction base="xs:unsignedByte">
+ <xs:maxInclusive value="1"/>
+ <xs:enumeration value="0">
+ <xs:annotation>
+ <xs:documentation>
+ <label xml:lang="en">REGISTERED</label>
+ <definition xml:lang="en">iFC is part of the registered profile</definition>
+ </xs:documentation>
+ </xs:annotation>
+ </xs:enumeration>
+ <xs:enumeration value="1">
+ <xs:annotation>
+ <xs:documentation>
+ <label xml:lang="en">UNREGISTERED</label>
+ <definition xml:lang="en">iFC is part of the unregistered profile</definition>
+ </xs:documentation>
+ </xs:annotation>
+ </xs:enumeration>
+ </xs:restriction>
+ </xs:simpleType>
+
+ <xs:simpleType name="tGroupID" final="list restriction">
+ <xs:restriction base="xs:int">
+ <xs:minInclusive value="0"/>
+ </xs:restriction>
+ </xs:simpleType>
+ <xs:simpleType name="tDefaultHandling" final="list restriction">
+ <xs:restriction base="xs:unsignedByte">
+ <xs:maxInclusive value="1"/>
+ <xs:enumeration value="0">
+ <xs:annotation>
+ <xs:documentation>
+ <label xml:lang="en">SESSION_CONTINUED</label>
+ <definition xml:lang="en">Session Continued</definition>
+ </xs:documentation>
+ </xs:annotation>
+ </xs:enumeration>
+ <xs:enumeration value="1">
+ <xs:annotation>
+ <xs:documentation>
+ <label xml:lang="en">SESSION_TERMINATED</label>
+ <definition xml:lang="en">Session Terminated</definition>
+ </xs:documentation>
+ </xs:annotation>
+ </xs:enumeration>
+ </xs:restriction>
+ </xs:simpleType>
+ <xs:simpleType name="tDirectionOfRequest" final="list restriction">
+ <xs:restriction base="xs:unsignedByte">
+ <xs:maxInclusive value="3"/>
+ <xs:enumeration value="0">
+ <xs:annotation>
+ <xs:documentation>
+ <label xml:lang="en">ORIGINATING_SESSION</label>
+ <definition xml:lang="en">Originating Session</definition>
+ </xs:documentation>
+ </xs:annotation>
+ </xs:enumeration>
+ <xs:enumeration value="1">
+ <xs:annotation>
+ <xs:documentation>
+ <label xml:lang="en">TERMINATING_REGISTERED</label>
+ <definition xml:lang="en">Terminating Session for registered user</definition>
+ </xs:documentation>
+ </xs:annotation>
+ </xs:enumeration>
+ <xs:enumeration value="2">
+ <xs:annotation>
+ <xs:documentation>
+ <label xml:lang="en">TERMINATING_UNREGISTERED</label>
+ <definition xml:lang="en">Terminating Session for unregistered user</definition>
+ </xs:documentation>
+ </xs:annotation>
+ </xs:enumeration>
+ </xs:restriction>
+ </xs:simpleType>
+ <xs:simpleType name="tPrivateID" final="list restriction">
+ <xs:restriction base="xs:anyURI"/>
+ </xs:simpleType>
+ <xs:simpleType name="tSIP_URL" final="list restriction">
+ <xs:restriction base="xs:anyURI"/>
+ </xs:simpleType>
+ <xs:simpleType name="tTEL_URL" final="list restriction">
+ <xs:restriction base="xs:anyURI"/>
+ </xs:simpleType>
+ <xs:simpleType name="tIdentity" final="list restriction">
+ <xs:union memberTypes="tSIP_URL tTEL_URL"/>
+ </xs:simpleType>
+ <xs:simpleType name="tServiceInfo" final="list restriction">
+ <xs:restriction base="xs:string">
+ <xs:minLength value="0"/>
+ </xs:restriction>
+ </xs:simpleType>
+ <xs:simpleType name="tString" final="list restriction">
+ <xs:restriction base="xs:string">
+ <xs:minLength value="0"/>
+ </xs:restriction>
+ </xs:simpleType>
+ <xs:simpleType name="tBool">
+ <xs:restriction base="xs:boolean"/>
+ </xs:simpleType>
+ <xs:simpleType name="tSubscribedMediaProfileId" final="list restriction">
+ <xs:restriction base="xs:int">
+ <xs:minInclusive value="0"/>
+ </xs:restriction>
+ </xs:simpleType>
+ <xs:complexType name="tExtension">
+ <xs:sequence>
+ <xs:any processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tIMSSubscription">
+ <xs:sequence>
+ <xs:element name="PrivateID" type="tPrivateID"/>
+ <xs:element name="ServiceProfile" type="tServiceProfile" maxOccurs="unbounded"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tServiceProfile">
+ <xs:sequence>
+ <xs:element name="PublicIdentity" type="tPublicIdentity" maxOccurs="unbounded"/>
+ <xs:element name="CoreNetworkServicesAuthorization" type="tCoreNetworkServicesAuthorization" minOccurs="0"/>
+ <xs:element name="InitialFilterCriteria" type="tInitialFilterCriteria" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tCoreNetworkServicesAuthorization">
+ <xs:sequence>
+ <xs:element name="SubscribedMediaProfileId" type="tSubscribedMediaProfileId" minOccurs="0"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tInitialFilterCriteria">
+ <xs:sequence>
+ <xs:element name="Priority" type="tPriority"/>
+ <xs:element name="TriggerPoint" type="tTrigger" minOccurs="0"/>
+ <xs:element name="ApplicationServer" type="tApplicationServer"/>
+ <xs:element name="ProfilePartIndicator" type="tProfilePartIndicator" minOccurs="0"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tTrigger">
+ <xs:sequence>
+ <xs:element name="ConditionTypeCNF" type="tBool"/>
+ <xs:element name="SPT" type="tSePoTri" maxOccurs="unbounded"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tSePoTri">
+ <xs:sequence>
+ <xs:element name="ConditionNegated" type="tBool" default="0" minOccurs="0"/>
+ <xs:element name="Group" type="tGroupID" maxOccurs="unbounded"/>
+ <xs:choice>
+ <xs:element name="RequestURI" type="tString"/>
+ <xs:element name="Method" type="tString"/>
+ <xs:element name="SIPHeader" type="tHeader"/>
+ <xs:element name="SessionCase" type="tDirectionOfRequest"/>
+ <xs:element name="SessionDescription" type="tSessionDescription"/>
+ </xs:choice>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tHeader">
+ <xs:sequence>
+ <xs:element name="Header" type="tString"/>
+ <xs:element name="Content" type="tString" minOccurs="0"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tSessionDescription">
+ <xs:sequence>
+ <xs:element name="Line" type="tString"/>
+ <xs:element name="Content" type="tString" minOccurs="0"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tApplicationServer">
+ <xs:sequence>
+ <xs:element name="ServerName" type="tSIP_URL"/>
+ <xs:element name="DefaultHandling" type="tDefaultHandling" minOccurs="0"/> <!-- the DefaultHandling element should not be sent by a Rel-5 HSS -->
+ <xs:element name="ServiceInfo" type="tServiceInfo" minOccurs="0"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="tPublicIdentity">
+ <xs:sequence>
+ <xs:element name="BarringIndication" type="tBool" default="0" minOccurs="0"/>
+ <xs:element name="Identity" type="tIdentity"/>
+ <xs:element name="Extension" type="tExtension" minOccurs="0"/>
+ <xs:any namespace="##other" processContents="lax" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="IMSSubscription" type="tIMSSubscription"/>
+</xs:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/address.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/address.xsd
new file mode 100644
index 0000000000..147d1fe01e
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/address.xsd
@@ -0,0 +1,69 @@
+<schema targetNamespace="http://www.example.com/IPO"
+ xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:ipo="http://www.example.com/IPO">
+
+ <annotation>
+ <documentation xml:lang="en">
+ Addresses for International Purchase order schema
+ Copyright 2000 Example.com. All rights reserved.
+ </documentation>
+ </annotation>
+
+ <complexType name="Address">
+ <sequence>
+ <element name="name" type="string"/>
+ <element name="street" type="string"/>
+ <element name="city" type="string"/>
+ </sequence>
+ </complexType>
+
+ <complexType name="USAddress">
+ <complexContent>
+ <extension base="ipo:Address">
+ <sequence>
+ <element name="state" type="ipo:USState"/>
+ <element name="zip" type="positiveInteger"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+
+ <complexType name="UKAddress">
+ <complexContent>
+ <extension base="ipo:Address">
+ <sequence>
+ <element name="postcode" type="ipo:UKPostcode"/>
+ </sequence>
+ <attribute name="exportCode" type="positiveInteger" fixed="1"/>
+ </extension>
+ </complexContent>
+ </complexType>
+
+ <!-- other Address derivations for more countries -->
+
+ <simpleType name="USState">
+ <restriction base="string">
+ <enumeration value="AK"/>
+ <enumeration value="AL"/>
+ <enumeration value="AR"/>
+ <enumeration value="PA"/>
+ <!-- and so on ... -->
+ </restriction>
+ </simpleType>
+
+ <!-- simple type definition for UKPostcode -->
+ <simpleType name="UKPostcode">
+ <restriction base="ipo:Postcode">
+ <pattern value="[A-Z]{2}\d\s\d[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+ <simpleType name="Postcode">
+ <restriction base="string">
+ <length value="7" fixed="true"/>
+ </restriction>
+ </simpleType>
+
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes.xsd
new file mode 100644
index 0000000000..78140cda9b
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes.xsd
@@ -0,0 +1,112 @@
+<schema xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:po="http://www.example.com/ComplexTypes"
+ targetNamespace="http://www.example.com/ComplexTypes"
+ elementFormDefault="unqualified"
+ attributeFormDefault="unqualified">
+
+ <element name="purchaseOrder" type="po:PurchaseOrderType"/>
+
+ <element name="purchaseOrder2" type="po:PurchaseOrderType2"/>
+
+ <element name="comment" type="string"/>
+
+
+<complexType name="PurchaseOrderType2">
+ <sequence>
+ <choice>
+ <group ref="po:shipAndBill"/>
+ <element name="singleUSAddress" type="po:USAddress"/>
+ </choice>
+ <element ref="po:comment" minOccurs="0"/>
+ <element name="items" type="po:Items"/>
+ </sequence>
+ <attribute name="orderDate" type="date"/>
+</complexType>
+
+<group name="shipAndBill">
+ <sequence>
+ <element name="shipTo" type="po:USAddress"/>
+ <element name="billTo" type="po:USAddress"/>
+ </sequence>
+</group>
+
+
+
+ <complexType name="PurchaseOrderType">
+ <sequence>
+ <element name="shipTo" type="po:USAddress"/>
+ <element name="billTo" type="po:USAddress"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element name="items" type="po:Items"/>
+ </sequence>
+ <attribute name="orderDate" type="date"/>
+ </complexType>
+
+ <complexType name="USAddress">
+ <sequence>
+ <element name="name" type="string"/>
+ <element name="street" type="string"/>
+ <element name="city" type="string"/>
+ <element name="state" type="string"/>
+ <element name="zip" type="decimal"/>
+ <element name="contact" type="po:Contact" minOccurs="0"/>
+ </sequence>
+ <attribute name="country" type="NMTOKEN"
+ fixed="US"/>
+ </complexType>
+
+ <complexType name="Items">
+ <sequence>
+ <element name="item" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="productName" type="string"/>
+ <element name="quantity">
+ <simpleType>
+ <restriction base="positiveInteger">
+ <maxExclusive value="100"/>
+ </restriction>
+ </simpleType>
+ </element>
+ <element name="USPrice" type="decimal"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element name="shipDate" type="date" minOccurs="0"/>
+ </sequence>
+ <attribute name="partNum" type="po:SKU" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <complexType name="Contact">
+ <sequence>
+ <element name="phone" type="decimal"/>
+ <element name="mail" type="string" minOccurs="0"/>
+ <element name="e-mail" type="string"/>
+ <element name="cell-phone" type="decimal" minOccurs="0"/>
+ <element name="other" type="string" minOccurs="0"/>
+ <element name="prefCont" type="po:PreferredContact" minOccurs="0" maxOccurs="2"/>
+ </sequence>
+ </complexType>
+
+ <complexType name="PreferredContact">
+ <choice>
+ <element name="phone" type="string"/>
+ <element name="mail" type="string"/>
+ <element name="e-mail" type="string"/>
+ <element name="cell-phone" type="string"/>
+ <element name="other" type="string"/>
+ </choice>
+ <attribute name="priority" type="NMTOKEN"
+ fixed="medium"/>
+ </complexType>
+
+ <!-- Stock Keeping Unit, a code for identifying products -->
+ <simpleType name="SKU">
+ <restriction base="string">
+ <pattern value="\d{3}-[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes1.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes1.xml
new file mode 100644
index 0000000000..a46a562c37
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes1.xml
@@ -0,0 +1,44 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder xmlns:apo="http://www.example.com/ComplexTypes"
+ orderDate="1999-10-20">
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ <contact>
+ <phone>081234567</phone>
+ <e-mail>[email protected]</e-mail>
+ <cell-phone>070122345</cell-phone>
+ <prefCont>
+ <cell-phone>070122345</cell-phone>
+ </prefCont>
+ </contact>
+ </shipTo>
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes2.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes2.xml
new file mode 100644
index 0000000000..80687b9c1c
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/complexTypes2.xml
@@ -0,0 +1,44 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder2 xmlns:apo="http://www.example.com/ComplexTypes"
+ orderDate="1999-10-20">
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ <contact>
+ <phone>081234567</phone>
+ <e-mail>[email protected]</e-mail>
+ <cell-phone>070122345</cell-phone>
+ <prefCont>
+ <cell-phone>070122345</cell-phone>
+ </prefCont>
+ </contact>
+ </shipTo>
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder2>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xml
new file mode 100644
index 0000000000..1a4b601c32
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xml
@@ -0,0 +1,2 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<status/>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xsd
new file mode 100644
index 0000000000..996e0d5b4d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/enum_bug.xsd
@@ -0,0 +1,20 @@
+<?xml version="1.0" encoding="UTF-8"?>
+
+<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema"
+ elementFormDefault="qualified" attributeFormDefault="unqualified">
+
+ <xs:element name="status">
+ <xs:complexType>
+ <xs:simpleContent>
+ <xs:extension base="status-type"/>
+ </xs:simpleContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:simpleType name="status-type">
+ <xs:restriction base="xs:string">
+ <xs:enumeration value="Valid" />
+ <xs:enumeration value="Invalid" />
+ <xs:enumeration value="" />
+ </xs:restriction>
+ </xs:simpleType>
+</xs:schema> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/clementine_loop.gpx b/lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/clementine_loop.gpx
new file mode 100644
index 0000000000..f4e3185c56
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/clementine_loop.gpx
@@ -0,0 +1,3594 @@
+<?xml version="1.0" encoding="ISO-8859-1" standalone="yes"?>
+<gpx
+ version="1.0"
+ creator="ExpertGPS 1.2 - http://www.topografix.com"
+ xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
+ xmlns="http://www.topografix.com/GPX/1/0"
+ xmlns:topografix="http://www.topografix.com/GPX/Private/TopoGrafix/0/2"
+ xsi:schemaLocation="http://www.topografix.com/GPX/1/0 http://www.topografix.com/GPX/1/0/gpx.xsd http://www.topografix.com/GPX/Private/TopoGrafix/0/2 http://www.topografix.com/GPX/Private/TopoGrafix/0/2/topografix.xsd">
+<time>2003-02-05T19:17:02Z</time>
+<bounds minlat="38.625526" minlon="-121.516943" maxlat="38.937285" maxlon="-121.011830"/>
+<wpt lat="38.916370006" lon="-121.035340039">
+ <ele>182.775024</ele>
+ <time>2003-02-05T18:19:15Z</time>
+ <name>CLEMEN TR</name>
+ <desc>CLEMEN TR</desc>
+ <sym>Trail Head</sym>
+ <type>Trail Head</type>
+</wpt>
+<wpt lat="38.920639999" lon="-121.012300031">
+ <ele>313.993896</ele>
+ <time>2003-02-05T18:19:15Z</time>
+ <name>CONFL TR</name>
+ <desc>CONFL TR</desc>
+ <sym>Trail Head</sym>
+ <type>Trail Head</type>
+</wpt>
+<wpt lat="38.932889983" lon="-121.011829974">
+ <ele>437.041748</ele>
+ <time>2003-02-05T18:19:15Z</time>
+ <name>CULVERT TR</name>
+ <desc>CULVERT TR</desc>
+ <sym>Trail Head</sym>
+ <type>Trail Head</type>
+</wpt>
+<wpt lat="38.905900003" lon="-121.055939991">
+ <ele>371.912964</ele>
+ <time>2003-02-04T21:14:35Z</time>
+ <name>MANZANITA</name>
+ <desc>MANZANITA</desc>
+ <sym>Trail Head</sym>
+ <type>Trail Head</type>
+</wpt>
+<wpt lat="38.911470029" lon="-121.054369977">
+ <ele>405.318481</ele>
+ <time>2003-02-04T21:14:35Z</time>
+ <name>STAGECOACH</name>
+ <desc>STAGECOACH</desc>
+ <sym>Trail Head</sym>
+ <type>Trail Head</type>
+</wpt>
+<rte>
+ <name>SAC-CLEM</name>
+ <desc>Auto route from Sacramento to Clementine Loop trail head.</desc>
+ <number>1</number>
+ <topografix:color>ff0000</topografix:color>
+<rtept lat="38.625526432" lon="-121.516942989">
+ <time>2003-02-05T18:08:15Z</time>
+ <name>I-5</name>
+ <desc>I-5</desc>
+ <sym>Waypoint</sym>
+ <type>Waypoint</type>
+</rtept>
+<rtept lat="38.646984104" lon="-121.374807369">
+ <time>2003-02-05T18:08:15Z</time>
+ <name>I-80BUSRTE</name>
+ <desc>I-80BUSRTE</desc>
+ <sym>Waypoint</sym>
+ <type>Waypoint</type>
+</rtept>
+<rtept lat="38.917007450" lon="-121.062297832">
+ <time>2003-02-05T18:08:15Z</time>
+ <name>I-801</name>
+ <desc>I-801</desc>
+ <sym>Waypoint</sym>
+ <type>Waypoint</type>
+</rtept>
+<rtept lat="38.917522434" lon="-121.060409557">
+ <time>2003-02-05T18:08:15Z</time>
+ <name>LINCOLNWAY</name>
+ <desc>LINCOLNWAY</desc>
+ <sym>Waypoint</sym>
+ <type>Waypoint</type>
+</rtept>
+<rtept lat="38.915805820" lon="-121.061010372">
+ <time>2003-02-05T18:08:15Z</time>
+ <name>LINCOLNWY1</name>
+ <desc>LINCOLNWY1</desc>
+ <sym>Waypoint</sym>
+ <type>Waypoint</type>
+</rtept>
+<rtept lat="38.916149143" lon="-121.057147991">
+ <time>2003-02-05T18:08:15Z</time>
+ <name>RUSSELL RD</name>
+ <desc>RUSSELL RD</desc>
+ <sym>Waypoint</sym>
+ <type>Waypoint</type>
+</rtept>
+<rtept lat="38.911467012" lon="-121.054374419">
+ <time>2003-02-05T18:08:15Z</time>
+ <name>STAGECOACH</name>
+ <desc>STAGECOACH</desc>
+ <sym>Trail Head</sym>
+ <type>Trail Head</type>
+</rtept>
+</rte>
+<trk>
+ <name>CLEMENTINE</name>
+ <desc>Clementine Loop</desc>
+ <number>1</number>
+ <topografix:color>ff0000</topografix:color>
+<trkseg>
+<trkpt lat="38.919839863" lon="-121.020112049">
+ <ele>265.447754</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919796947" lon="-121.020240795">
+ <ele>264.967041</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919861320" lon="-121.020498287">
+ <ele>263.044434</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919990066" lon="-121.020798694">
+ <ele>263.525146</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919925693" lon="-121.021056187">
+ <ele>260.160522</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919904236" lon="-121.021163475">
+ <ele>260.160522</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919818405" lon="-121.021292221">
+ <ele>259.679932</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919646744" lon="-121.021614086">
+ <ele>259.199219</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919539455" lon="-121.021764290">
+ <ele>256.795898</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919432167" lon="-121.022086155">
+ <ele>255.834717</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919281963" lon="-121.022450935">
+ <ele>252.950684</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919281963" lon="-121.022515308">
+ <ele>253.431396</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919196132" lon="-121.022665512">
+ <ele>251.989380</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919131759" lon="-121.022837173">
+ <ele>251.027954</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918981556" lon="-121.023073208">
+ <ele>248.624756</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918895725" lon="-121.023223411">
+ <ele>248.624756</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918745521" lon="-121.023609649">
+ <ele>246.702148</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918681148" lon="-121.023845684">
+ <ele>244.298950</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918681148" lon="-121.024081718">
+ <ele>243.337524</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918681148" lon="-121.024210464">
+ <ele>242.856934</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918724064" lon="-121.024403583">
+ <ele>241.895630</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918745521" lon="-121.024703991">
+ <ele>239.492310</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918595318" lon="-121.024854194">
+ <ele>238.050415</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918337826" lon="-121.025025856">
+ <ele>236.608521</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918187622" lon="-121.025090229">
+ <ele>235.646973</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917994503" lon="-121.025326263">
+ <ele>234.205078</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917951587" lon="-121.025519382">
+ <ele>233.243774</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917908672" lon="-121.025691044">
+ <ele>232.282471</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917887214" lon="-121.025927078">
+ <ele>231.801758</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917651180" lon="-121.025991451">
+ <ele>230.840576</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917415146" lon="-121.025884163">
+ <ele>228.437256</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917114738" lon="-121.025691044">
+ <ele>226.514648</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916792873" lon="-121.025648128">
+ <ele>223.630737</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916192058" lon="-121.025819790">
+ <ele>219.785400</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915848736" lon="-121.025884163">
+ <ele>217.382202</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915677074" lon="-121.026034366">
+ <ele>215.459595</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915634159" lon="-121.026227485">
+ <ele>214.017578</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915634159" lon="-121.026356232">
+ <ele>214.017578</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915569786" lon="-121.026806843">
+ <ele>212.094971</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915462498" lon="-121.026914131">
+ <ele>210.172363</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915376667" lon="-121.027021419">
+ <ele>209.691772</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915140632" lon="-121.027686607">
+ <ele>206.807739</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915119175" lon="-121.027858269">
+ <ele>206.327148</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915054802" lon="-121.028094303">
+ <ele>204.404541</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915054802" lon="-121.028137218">
+ <ele>204.404541</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914990429" lon="-121.028373253">
+ <ele>201.520508</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914947513" lon="-121.028738033">
+ <ele>200.559204</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914861683" lon="-121.028931152">
+ <ele>199.597900</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914840225" lon="-121.029145729">
+ <ele>200.559204</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914797310" lon="-121.029253017">
+ <ele>201.039795</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914647106" lon="-121.029489052">
+ <ele>196.713989</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914625648" lon="-121.029531967">
+ <ele>196.713989</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914539818" lon="-121.029832374">
+ <ele>194.310791</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914518360" lon="-121.030004036">
+ <ele>192.868774</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914496902" lon="-121.030519020">
+ <ele>191.426758</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914368156" lon="-121.030626308">
+ <ele>189.984863</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914217953" lon="-121.030733597">
+ <ele>189.023560</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914024833" lon="-121.031162750">
+ <ele>184.697632</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913981918" lon="-121.031377327">
+ <ele>183.736206</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913939003" lon="-121.031699192">
+ <ele>183.255615</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913939003" lon="-121.031785023">
+ <ele>183.255615</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913831714" lon="-121.032021057">
+ <ele>182.775024</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913831714" lon="-121.032278549">
+ <ele>180.852417</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913788799" lon="-121.032471668">
+ <ele>178.929810</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913788799" lon="-121.032536041">
+ <ele>179.410400</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913681511" lon="-121.032857906">
+ <ele>177.487793</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913660053" lon="-121.033115398">
+ <ele>175.084595</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913660053" lon="-121.033244144">
+ <ele>174.603882</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913660053" lon="-121.033458721">
+ <ele>174.123169</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913702968" lon="-121.034145366">
+ <ele>172.200562</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913660053" lon="-121.034231197">
+ <ele>171.239258</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913767341" lon="-121.034510147">
+ <ele>173.642578</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913767341" lon="-121.034767639">
+ <ele>172.200562</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913831714" lon="-121.035046589">
+ <ele>168.835938</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913896087" lon="-121.035218250">
+ <ele>167.874634</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913960460" lon="-121.035454284">
+ <ele>167.394043</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914024833" lon="-121.035625946">
+ <ele>166.913330</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914260868" lon="-121.035776150">
+ <ele>165.952026</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914518360" lon="-121.035904896">
+ <ele>166.913330</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914818767" lon="-121.036012184">
+ <ele>166.913330</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914947513" lon="-121.036119472">
+ <ele>166.913330</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915119175" lon="-121.036248218">
+ <ele>167.874634</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915290836" lon="-121.036291134">
+ <ele>168.835938</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915441040" lon="-121.036076557">
+ <ele>170.758545</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915634159" lon="-121.035883438">
+ <ele>174.123169</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915784363" lon="-121.035690319">
+ <ele>174.123169</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916020397" lon="-121.035625946">
+ <ele>173.161987</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916256431" lon="-121.035647404">
+ <ele>172.200562</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916385177" lon="-121.035690319">
+ <ele>171.239258</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916814331" lon="-121.036269676">
+ <ele>169.316650</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916878704" lon="-121.036484253">
+ <ele>167.394043</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916964535" lon="-121.036548626">
+ <ele>166.913330</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917050365" lon="-121.036527168">
+ <ele>166.432617</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917458061" lon="-121.036398422">
+ <ele>166.432617</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917887214" lon="-121.036570083">
+ <ele>168.835938</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918037418" lon="-121.036698829">
+ <ele>171.719849</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918058876" lon="-121.036698829">
+ <ele>172.681274</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918144707" lon="-121.036720287">
+ <ele>174.603882</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918187622" lon="-121.036827576">
+ <ele>176.526489</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918316368" lon="-121.036977779">
+ <ele>180.371704</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918466572" lon="-121.037127983">
+ <ele>183.255615</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918530945" lon="-121.037299644">
+ <ele>186.620239</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918659691" lon="-121.037514221">
+ <ele>189.023560</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918766979" lon="-121.037707340">
+ <ele>191.907471</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918852810" lon="-121.037836086">
+ <ele>195.271973</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919067386" lon="-121.038050663">
+ <ele>197.194580</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919239048" lon="-121.038222324">
+ <ele>200.078613</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919346336" lon="-121.038393986">
+ <ele>202.481812</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919517998" lon="-121.038587105">
+ <ele>205.846558</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919539455" lon="-121.038630020">
+ <ele>206.327148</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919496540" lon="-121.038672935">
+ <ele>206.807739</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919260505" lon="-121.038544189">
+ <ele>210.652954</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918960098" lon="-121.038351070">
+ <ele>214.017578</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918402199" lon="-121.037986290">
+ <ele>217.382202</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918037418" lon="-121.037728798">
+ <ele>220.266113</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917565349" lon="-121.037685882">
+ <ele>223.630737</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917350773" lon="-121.038050663">
+ <ele>226.514648</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917329315" lon="-121.038329613">
+ <ele>228.917969</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917222027" lon="-121.038887512">
+ <ele>231.801758</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917243484" lon="-121.039402496">
+ <ele>235.166382</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917350773" lon="-121.039831650">
+ <ele>238.050415</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917651180" lon="-121.040067684">
+ <ele>241.414917</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917887214" lon="-121.040282261">
+ <ele>244.298950</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918294910" lon="-121.040647041">
+ <ele>245.260132</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918423656" lon="-121.041119110">
+ <ele>248.144165</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918659691" lon="-121.041462433">
+ <ele>251.508667</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918852810" lon="-121.041655552">
+ <ele>254.392700</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918809894" lon="-121.042191994">
+ <ele>256.795898</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918809894" lon="-121.042814266">
+ <ele>259.199219</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918509487" lon="-121.042964470">
+ <ele>261.602539</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918488029" lon="-121.042964470">
+ <ele>261.602539</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918101791" lon="-121.042792808">
+ <ele>264.486328</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917586807" lon="-121.042621147">
+ <ele>268.331665</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917329315" lon="-121.042792808">
+ <ele>270.254272</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917157654" lon="-121.043200504">
+ <ele>273.138306</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916878704" lon="-121.043479454">
+ <ele>276.022095</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916471008" lon="-121.043629658">
+ <ele>278.906128</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916428093" lon="-121.043608200">
+ <ele>279.867310</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916020397" lon="-121.043457996">
+ <ele>283.231934</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915805820" lon="-121.043694031">
+ <ele>286.115967</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915655617" lon="-121.044209015">
+ <ele>288.038574</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915419582" lon="-121.044316303">
+ <ele>290.922485</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915140632" lon="-121.044466507">
+ <ele>293.325684</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914818767" lon="-121.044230472">
+ <ele>294.287109</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914496902" lon="-121.044101726">
+ <ele>297.651611</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914217953" lon="-121.044230472">
+ <ele>301.016235</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913939003" lon="-121.044445049">
+ <ele>303.419556</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913810257" lon="-121.044552337">
+ <ele>304.861450</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913488392" lon="-121.044681084">
+ <ele>307.745483</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913187984" lon="-121.044681084">
+ <ele>308.706665</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912887577" lon="-121.044681084">
+ <ele>311.109985</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912565712" lon="-121.044788372">
+ <ele>313.513306</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912372593" lon="-121.045110237">
+ <ele>316.877930</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912265304" lon="-121.045281898">
+ <ele>316.877930</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912072185" lon="-121.045582306">
+ <ele>320.242432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912007812" lon="-121.045925628">
+ <ele>322.165039</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912007812" lon="-121.046075832">
+ <ele>323.126465</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912072185" lon="-121.046547901">
+ <ele>325.529663</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912243847" lon="-121.046998512">
+ <ele>327.452271</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912158016" lon="-121.047277462">
+ <ele>330.816895</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911964897" lon="-121.047577869">
+ <ele>333.220215</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911771778" lon="-121.047964107">
+ <ele>335.623413</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911471371" lon="-121.048307430">
+ <ele>338.988037</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911235336" lon="-121.048650753">
+ <ele>342.352661</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911042217" lon="-121.049079906">
+ <ele>344.275269</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910634521" lon="-121.049187195">
+ <ele>346.678467</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910248283" lon="-121.049444687">
+ <ele>350.043213</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910119537" lon="-121.049659263">
+ <ele>353.407715</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910183910" lon="-121.050109875">
+ <ele>354.849609</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910462860" lon="-121.050453197">
+ <ele>357.733643</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910849098" lon="-121.050710689">
+ <ele>360.617432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911213878" lon="-121.050882351">
+ <ele>363.501465</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911406997" lon="-121.051311504">
+ <ele>366.866089</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911664490" lon="-121.051590454">
+ <ele>370.230591</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912007812" lon="-121.051719200">
+ <ele>371.672607</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912007812" lon="-121.052105438">
+ <ele>373.595215</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912050728" lon="-121.052491676">
+ <ele>376.959839</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912351135" lon="-121.052856457">
+ <ele>379.363037</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912758831" lon="-121.052985203">
+ <ele>382.727783</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913059238" lon="-121.053135406">
+ <ele>385.130981</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913273815" lon="-121.053628933">
+ <ele>388.014893</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913273815" lon="-121.053843510">
+ <ele>388.976196</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913187984" lon="-121.053843510">
+ <ele>389.456787</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913037781" lon="-121.053822052">
+ <ele>390.418213</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912673000" lon="-121.053864967">
+ <ele>393.302002</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912308220" lon="-121.053972256">
+ <ele>396.186157</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911921982" lon="-121.054122459">
+ <ele>399.069946</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911664490" lon="-121.054143917">
+ <ele>400.031372</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911492828" lon="-121.054101002">
+ <ele>400.992554</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911342624" lon="-121.054251205">
+ <ele>403.876587</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911385540" lon="-121.054358494">
+ <ele>403.876587</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911385540" lon="-121.054379951">
+ <ele>403.395874</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911406997" lon="-121.054379951">
+ <ele>402.915161</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911492828" lon="-121.054487240">
+ <ele>402.915161</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911492828" lon="-121.054487240">
+ <ele>403.395874</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911492828" lon="-121.054508697">
+ <ele>403.395874</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911492828" lon="-121.054337036">
+ <ele>413.489746</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911514286" lon="-121.054379951">
+ <ele>413.489746</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911557201" lon="-121.055088054">
+ <ele>410.605591</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911535744" lon="-121.055431377">
+ <ele>408.202393</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911364082" lon="-121.055989277">
+ <ele>404.357178</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911256794" lon="-121.056354057">
+ <ele>400.511963</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911213878" lon="-121.056482803">
+ <ele>399.550659</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911085132" lon="-121.056633007">
+ <ele>397.147339</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910484318" lon="-121.056268226">
+ <ele>394.744019</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909926418" lon="-121.056118023">
+ <ele>391.379395</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909754757" lon="-121.056096565">
+ <ele>390.418213</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909540180" lon="-121.056182396">
+ <ele>389.937500</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908746246" lon="-121.056311142">
+ <ele>386.572998</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908681873" lon="-121.056311142">
+ <ele>386.572998</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908295635" lon="-121.056311142">
+ <ele>386.092285</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907995228" lon="-121.056418430">
+ <ele>387.534180</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907845024" lon="-121.056461346">
+ <ele>386.572998</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907630447" lon="-121.056418430">
+ <ele>387.053589</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907480243" lon="-121.056289684">
+ <ele>387.053589</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907458786" lon="-121.056203853">
+ <ele>386.092285</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907394413" lon="-121.056182396">
+ <ele>386.092285</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907115463" lon="-121.056096565">
+ <ele>383.208374</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906836513" lon="-121.056182396">
+ <ele>381.285767</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906729225" lon="-121.056289684">
+ <ele>379.843628</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906536106" lon="-121.056504261">
+ <ele>377.921021</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906321529" lon="-121.056504261">
+ <ele>376.479126</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906064037" lon="-121.056203853">
+ <ele>375.037231</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905892376" lon="-121.055967819">
+ <ele>371.672607</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905892376" lon="-121.055946361">
+ <ele>370.711304</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906021122" lon="-121.055667412">
+ <ele>366.866089</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906085495" lon="-121.055452835">
+ <ele>363.982056</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906128410" lon="-121.055152428">
+ <ele>360.617432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906149868" lon="-121.055088054">
+ <ele>359.656250</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906214241" lon="-121.054852020">
+ <ele>358.214233</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906300072" lon="-121.054744732">
+ <ele>356.291626</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906364445" lon="-121.054658901">
+ <ele>354.849609</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906407360" lon="-121.054508697">
+ <ele>351.965820</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906385902" lon="-121.054465782">
+ <ele>351.485107</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906021122" lon="-121.054551613">
+ <ele>348.120605</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905677799" lon="-121.054744732">
+ <ele>344.755859</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905591968" lon="-121.054809105">
+ <ele>342.352661</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905441765" lon="-121.054937851">
+ <ele>340.430054</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905441765" lon="-121.054852020">
+ <ele>339.949341</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905463222" lon="-121.054744732">
+ <ele>338.988037</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905549053" lon="-121.054508697">
+ <ele>335.142822</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905570511" lon="-121.054465782">
+ <ele>335.142822</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905785087" lon="-121.054058086">
+ <ele>333.700806</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.905978206" lon="-121.053800594">
+ <ele>330.816895</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906149868" lon="-121.053628933">
+ <ele>330.336182</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906257156" lon="-121.053586017">
+ <ele>329.855469</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906385902" lon="-121.053521644">
+ <ele>329.855469</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906514648" lon="-121.053457271">
+ <ele>328.413574</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906879429" lon="-121.053543102">
+ <ele>325.529663</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906900886" lon="-121.053586017">
+ <ele>324.087646</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906965259" lon="-121.053714763">
+ <ele>322.165039</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.906965259" lon="-121.053714763">
+ <ele>322.165039</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907008175" lon="-121.053671848">
+ <ele>322.645752</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907158378" lon="-121.053435814">
+ <ele>326.490967</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907244209" lon="-121.053199779">
+ <ele>329.855469</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907544617" lon="-121.053071033">
+ <ele>327.932861</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907630447" lon="-121.053071033">
+ <ele>327.452271</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907673363" lon="-121.053028118">
+ <ele>326.010254</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907802109" lon="-121.052920830">
+ <ele>324.087646</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907887939" lon="-121.052792084">
+ <ele>322.645752</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.907930855" lon="-121.052598965">
+ <ele>322.645752</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908317093" lon="-121.052105438">
+ <ele>322.165039</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908445839" lon="-121.051869404">
+ <ele>322.645752</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908252720" lon="-121.051461708">
+ <ele>323.607056</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908274177" lon="-121.050968181">
+ <ele>321.684448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908381466" lon="-121.050839435">
+ <ele>320.242432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908424381" lon="-121.050817978">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908531669" lon="-121.050710689">
+ <ele>315.916504</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908574585" lon="-121.050689232">
+ <ele>315.916504</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908638958" lon="-121.050646316">
+ <ele>313.513306</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908789161" lon="-121.050581943">
+ <ele>313.513306</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908917908" lon="-121.050496113">
+ <ele>315.435913</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909025196" lon="-121.050453197">
+ <ele>316.397217</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909432892" lon="-121.050367367">
+ <ele>317.358643</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909540180" lon="-121.050324451">
+ <ele>317.358643</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909540180" lon="-121.050260078">
+ <ele>320.242432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909175400" lon="-121.049852382">
+ <ele>321.203857</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908746246" lon="-121.049251568">
+ <ele>323.126465</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908531669" lon="-121.048629295">
+ <ele>323.126465</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.908660415" lon="-121.048393261">
+ <ele>321.684448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909196857" lon="-121.048264515">
+ <ele>318.800537</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909239773" lon="-121.048264515">
+ <ele>318.800537</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909325603" lon="-121.048157226">
+ <ele>317.358643</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909304146" lon="-121.048028480">
+ <ele>319.281250</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909218315" lon="-121.047513496">
+ <ele>320.242432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909282688" lon="-121.047449123">
+ <ele>321.684448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909497265" lon="-121.047427666">
+ <ele>321.684448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909733299" lon="-121.047492039">
+ <ele>321.203857</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.909883503" lon="-121.047427666">
+ <ele>321.684448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910076622" lon="-121.047492039">
+ <ele>321.684448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910441402" lon="-121.047942650">
+ <ele>320.242432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910548691" lon="-121.048092853">
+ <ele>321.203857</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910784725" lon="-121.048221599">
+ <ele>320.723145</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910870556" lon="-121.048264515">
+ <ele>319.281250</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.910956386" lon="-121.048049938">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911192421" lon="-121.047620785">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911535744" lon="-121.047556412">
+ <ele>320.242432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911964897" lon="-121.047041427">
+ <ele>318.800537</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911921982" lon="-121.046805393">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911879066" lon="-121.046526443">
+ <ele>322.645752</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911879066" lon="-121.046226036">
+ <ele>322.645752</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911836151" lon="-121.045990002">
+ <ele>320.242432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.911900524" lon="-121.045582306">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912179474" lon="-121.045217525">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912522796" lon="-121.044766914">
+ <ele>319.281250</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912694458" lon="-121.044616710">
+ <ele>318.319824</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912780289" lon="-121.044595253">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912801746" lon="-121.044616710">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.912844662" lon="-121.044616710">
+ <ele>319.761841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913037781" lon="-121.044659626">
+ <ele>321.684448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913209442" lon="-121.044638168">
+ <ele>320.723145</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913402561" lon="-121.044638168">
+ <ele>318.319824</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913681511" lon="-121.044638168">
+ <ele>316.877930</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.913810257" lon="-121.044573795">
+ <ele>316.397217</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914217953" lon="-121.044230472">
+ <ele>312.551880</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914282326" lon="-121.044187557">
+ <ele>312.551880</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.914561275" lon="-121.044101726">
+ <ele>309.668091</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915033344" lon="-121.044337761">
+ <ele>305.342163</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915076259" lon="-121.044380676">
+ <ele>305.342163</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915376667" lon="-121.044316303">
+ <ele>303.419556</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915634159" lon="-121.044187557">
+ <ele>301.496948</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915762905" lon="-121.043972980">
+ <ele>298.132324</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.915805820" lon="-121.043715488">
+ <ele>297.651611</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916277889" lon="-121.043415081">
+ <ele>293.806396</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916556839" lon="-121.043543827">
+ <ele>290.441895</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916728500" lon="-121.043608200">
+ <ele>288.038574</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916835789" lon="-121.043608200">
+ <ele>288.038574</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917093281" lon="-121.043457996">
+ <ele>286.115967</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917307857" lon="-121.042985927">
+ <ele>283.231934</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917350773" lon="-121.042921554">
+ <ele>282.751343</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917436603" lon="-121.042792808">
+ <ele>280.828735</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917694095" lon="-121.042685520">
+ <ele>278.425415</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917758468" lon="-121.042685520">
+ <ele>277.944702</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918402199" lon="-121.042814266">
+ <ele>273.138306</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918530945" lon="-121.042835724">
+ <ele>271.696289</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918724064" lon="-121.042900097">
+ <ele>270.254272</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918917183" lon="-121.042835724">
+ <ele>269.773682</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918981556" lon="-121.042449486">
+ <ele>268.331665</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918938640" lon="-121.041784298">
+ <ele>265.447754</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918766979" lon="-121.041526806">
+ <ele>262.563721</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918488029" lon="-121.041183483">
+ <ele>260.641113</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918359283" lon="-121.040732872">
+ <ele>257.757324</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918230537" lon="-121.040561210">
+ <ele>255.834717</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918015961" lon="-121.040346634">
+ <ele>255.834717</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917801384" lon="-121.040132057">
+ <ele>255.354004</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917522434" lon="-121.039938938">
+ <ele>251.027954</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917415146" lon="-121.039767277">
+ <ele>250.066772</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917372230" lon="-121.039574158">
+ <ele>248.624756</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917329315" lon="-121.038780224">
+ <ele>243.818237</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917393688" lon="-121.038479816">
+ <ele>242.376343</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917436603" lon="-121.038007747">
+ <ele>239.973022</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917586807" lon="-121.037685882">
+ <ele>237.089111</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917887214" lon="-121.037535679">
+ <ele>234.205078</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918037418" lon="-121.037535679">
+ <ele>233.724365</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918380741" lon="-121.037621509">
+ <ele>230.359863</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918809894" lon="-121.037879001">
+ <ele>226.995361</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918917183" lon="-121.037964832">
+ <ele>226.033936</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919045929" lon="-121.038050663">
+ <ele>224.592041</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919260505" lon="-121.038222324">
+ <ele>223.150146</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919367794" lon="-121.038308155">
+ <ele>221.708130</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919689659" lon="-121.038565647">
+ <ele>217.862793</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919732574" lon="-121.038608562">
+ <ele>217.862793</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919754032" lon="-121.038608562">
+ <ele>216.901489</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919668201" lon="-121.038479816">
+ <ele>215.459595</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919389252" lon="-121.038136494">
+ <ele>211.614380</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919346336" lon="-121.038093578">
+ <ele>211.614380</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919239048" lon="-121.037986290">
+ <ele>208.730347</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919003013" lon="-121.037707340">
+ <ele>204.885132</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918960098" lon="-121.037664425">
+ <ele>204.885132</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918766979" lon="-121.037428390">
+ <ele>200.559204</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918638233" lon="-121.037213814">
+ <ele>197.194580</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918509487" lon="-121.037020695">
+ <ele>192.868774</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918294910" lon="-121.036784660">
+ <ele>189.023560</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918230537" lon="-121.036741745">
+ <ele>187.581421</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917951587" lon="-121.036570083">
+ <ele>181.813599</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917887214" lon="-121.036527168">
+ <ele>181.813599</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917608265" lon="-121.036419880">
+ <ele>178.929810</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917415146" lon="-121.036376964">
+ <ele>178.449097</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917007450" lon="-121.036548626">
+ <ele>178.449097</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916857246" lon="-121.036398422">
+ <ele>178.929810</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916621212" lon="-121.035840523">
+ <ele>180.371704</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916363720" lon="-121.035647404">
+ <ele>181.333008</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916363720" lon="-121.035346996">
+ <ele>181.813599</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916578296" lon="-121.035218250">
+ <ele>181.333008</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.916943077" lon="-121.035089504">
+ <ele>180.371704</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.917222027" lon="-121.035068046">
+ <ele>179.410400</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918209080" lon="-121.035454284">
+ <ele>178.449097</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918488029" lon="-121.035625946">
+ <ele>177.968384</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.918745521" lon="-121.035969269">
+ <ele>177.968384</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919045929" lon="-121.036248218">
+ <ele>177.968384</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919346336" lon="-121.036655914">
+ <ele>178.449097</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920183185" lon="-121.037406933">
+ <ele>181.333008</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920912746" lon="-121.037750255">
+ <ele>183.255615</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921277527" lon="-121.037836086">
+ <ele>185.178223</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921492103" lon="-121.037921917">
+ <ele>184.216919</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921599392" lon="-121.037964832">
+ <ele>185.658813</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921685222" lon="-121.037964832">
+ <ele>184.216919</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921921257" lon="-121.038007747">
+ <ele>187.581421</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922092918" lon="-121.038072120">
+ <ele>187.100830</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922178749" lon="-121.038115036">
+ <ele>187.100830</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922264580" lon="-121.038157951">
+ <ele>186.620239</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922607902" lon="-121.038222324">
+ <ele>186.620239</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923058513" lon="-121.038243782">
+ <ele>187.100830</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923144344" lon="-121.038243782">
+ <ele>187.100830</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923230175" lon="-121.038265240">
+ <ele>187.100830</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923444752" lon="-121.038372528">
+ <ele>184.216919</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923809532" lon="-121.038479816">
+ <ele>185.178223</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924024109" lon="-121.038651478">
+ <ele>185.658813</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924303058" lon="-121.038844597">
+ <ele>186.620239</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924496177" lon="-121.038930427">
+ <ele>187.100830</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924560551" lon="-121.038994800">
+ <ele>186.620239</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924903873" lon="-121.039359581">
+ <ele>184.697632</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925139908" lon="-121.039681446">
+ <ele>184.216919</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925569061" lon="-121.040325176">
+ <ele>183.255615</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925783638" lon="-121.040625583">
+ <ele>182.775024</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925912384" lon="-121.040647041">
+ <ele>182.294312</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926019672" lon="-121.040689956">
+ <ele>181.813599</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926298622" lon="-121.040668499">
+ <ele>180.852417</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926427368" lon="-121.040625583">
+ <ele>180.371704</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927049640" lon="-121.040260803">
+ <ele>183.736206</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927328590" lon="-121.039917480">
+ <ele>186.139526</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927929405" lon="-121.039445412">
+ <ele>189.023560</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928380016" lon="-121.039080631">
+ <ele>192.388184</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928701881" lon="-121.038994800">
+ <ele>195.752686</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928895000" lon="-121.038973343">
+ <ele>197.675293</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929195408" lon="-121.038780224">
+ <ele>200.078613</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929367069" lon="-121.038351070">
+ <ele>202.481812</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929431442" lon="-121.038179409">
+ <ele>204.404541</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929796222" lon="-121.038136494">
+ <ele>207.769165</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930096630" lon="-121.037943374">
+ <ele>210.652954</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930397037" lon="-121.037836086">
+ <ele>213.536987</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930675987" lon="-121.037836086">
+ <ele>214.978882</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931126598" lon="-121.037428390">
+ <ele>216.420776</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931362633" lon="-121.037170898">
+ <ele>219.785400</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931534294" lon="-121.036913406">
+ <ele>222.669434</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931877617" lon="-121.036441337">
+ <ele>226.033936</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932156566" lon="-121.036055099">
+ <ele>228.917969</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932392601" lon="-121.035690319">
+ <ele>231.801758</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932564262" lon="-121.035304081">
+ <ele>235.166382</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932650093" lon="-121.034939300">
+ <ele>237.569702</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932349685" lon="-121.034510147">
+ <ele>240.453735</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932178024" lon="-121.034166824">
+ <ele>243.337524</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931963447" lon="-121.033694755">
+ <ele>246.702148</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931727413" lon="-121.033265602">
+ <ele>249.586060</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931491379" lon="-121.032986652">
+ <ele>252.470093</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931405548" lon="-121.032664787">
+ <ele>255.354004</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931212429" lon="-121.032257091">
+ <ele>258.718506</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930976394" lon="-121.031892311">
+ <ele>262.563721</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931019310" lon="-121.031634819">
+ <ele>264.967041</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931405548" lon="-121.031270038">
+ <ele>265.928345</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931620125" lon="-121.030840885">
+ <ele>267.370361</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931598667" lon="-121.030218612">
+ <ele>269.773682</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931577209" lon="-121.029725086">
+ <ele>272.657593</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931427006" lon="-121.029338848">
+ <ele>275.541504</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931298260" lon="-121.028888237">
+ <ele>277.944702</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931233887" lon="-121.028695118">
+ <ele>279.867310</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931276802" lon="-121.028630745">
+ <ele>280.348022</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931598667" lon="-121.028373253">
+ <ele>283.231934</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931856159" lon="-121.028201591">
+ <ele>286.596680</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931984905" lon="-121.027858269">
+ <ele>288.999878</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932220939" lon="-121.027793896">
+ <ele>292.845093</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932414058" lon="-121.027643692">
+ <ele>296.209717</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932435516" lon="-121.027235996">
+ <ele>299.093506</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932456974" lon="-121.027064335">
+ <ele>299.093506</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932456974" lon="-121.026892673">
+ <ele>301.016235</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932671551" lon="-121.026592266">
+ <ele>301.496948</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932971958" lon="-121.026463520">
+ <ele>304.380859</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933186535" lon="-121.026098739">
+ <ele>306.784058</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933444027" lon="-121.025691044">
+ <ele>309.668091</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933658603" lon="-121.025347721">
+ <ele>313.032593</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933830265" lon="-121.024832737">
+ <ele>316.397217</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933701519" lon="-121.024210464">
+ <ele>319.281250</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933529857" lon="-121.024124634">
+ <ele>322.165039</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933293823" lon="-121.023824226">
+ <ele>323.607056</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933379654" lon="-121.023373615">
+ <ele>326.010254</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933551315" lon="-121.023008835">
+ <ele>328.894287</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933594230" lon="-121.022579681">
+ <ele>330.336182</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933765892" lon="-121.022322189">
+ <ele>333.700806</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933851723" lon="-121.021893036">
+ <ele>336.584839</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933701519" lon="-121.021549713">
+ <ele>338.507446</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933594230" lon="-121.021120560">
+ <ele>341.391235</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933615688" lon="-121.020584118">
+ <ele>344.755859</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933637146" lon="-121.020519745">
+ <ele>344.275269</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934109215" lon="-121.020262253">
+ <ele>348.120605</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934366707" lon="-121.020069134">
+ <ele>351.004395</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934388164" lon="-121.020069134">
+ <ele>351.485107</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934817318" lon="-121.019918930">
+ <ele>352.446411</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935139183" lon="-121.019833099">
+ <ele>352.927002</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935546879" lon="-121.019811642">
+ <ele>355.811035</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935825828" lon="-121.019639980">
+ <ele>359.175537</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935868744" lon="-121.019446861">
+ <ele>360.617432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935546879" lon="-121.018974792">
+ <ele>363.982056</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935375217" lon="-121.018695843">
+ <ele>366.866089</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935289387" lon="-121.018266689">
+ <ele>370.230591</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935611252" lon="-121.018052112">
+ <ele>373.114624</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.936040405" lon="-121.017901909">
+ <ele>376.959839</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.936448101" lon="-121.017665874">
+ <ele>379.843628</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.936812881" lon="-121.017494213">
+ <ele>383.208374</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.937134746" lon="-121.017344009">
+ <ele>386.572998</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.937284950" lon="-121.016914856">
+ <ele>388.495605</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.937048916" lon="-121.016507160">
+ <ele>391.860107</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.936769966" lon="-121.016249668">
+ <ele>393.302002</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.936426643" lon="-121.016013634">
+ <ele>396.666748</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.936212066" lon="-121.015906345">
+ <ele>399.550659</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935954574" lon="-121.015863430">
+ <ele>401.473267</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935611252" lon="-121.015884888">
+ <ele>404.357178</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935267929" lon="-121.015906345">
+ <ele>407.721802</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935160641" lon="-121.015520107">
+ <ele>410.125000</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.935139183" lon="-121.015155327">
+ <ele>410.605591</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934946064" lon="-121.014683258">
+ <ele>413.489746</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934624199" lon="-121.014318477">
+ <ele>416.854248</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934237961" lon="-121.013975155">
+ <ele>419.738159</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.934023384" lon="-121.013674747">
+ <ele>423.102783</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933744434" lon="-121.013417255">
+ <ele>425.986572</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933529857" lon="-121.013052475">
+ <ele>429.351318</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933358196" lon="-121.012730610">
+ <ele>432.235229</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933315281" lon="-121.012516033">
+ <ele>433.196533</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933079246" lon="-121.012172710">
+ <ele>435.599731</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932929043" lon="-121.011958134">
+ <ele>436.561157</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932843212" lon="-121.011893761">
+ <ele>437.041748</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932778839" lon="-121.011915218">
+ <ele>438.003052</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932821754" lon="-121.012279999">
+ <ele>440.886963</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932800297" lon="-121.012387287">
+ <ele>442.809570</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932886127" lon="-121.012709152">
+ <ele>446.174316</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933057789" lon="-121.012945186">
+ <ele>449.058105</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933165077" lon="-121.013181221">
+ <ele>452.903320</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933272365" lon="-121.013503086">
+ <ele>456.267944</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933336738" lon="-121.013782036">
+ <ele>457.229248</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933401111" lon="-121.014060985">
+ <ele>460.593872</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933529857" lon="-121.014511597">
+ <ele>463.958496</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933722976" lon="-121.014812004">
+ <ele>463.958496</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933787350" lon="-121.015348446">
+ <ele>460.113281</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933830265" lon="-121.015605938">
+ <ele>456.748535</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933830265" lon="-121.015648853">
+ <ele>456.267944</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933680061" lon="-121.015906345">
+ <ele>454.825928</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.933293823" lon="-121.016185295">
+ <ele>454.345337</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932864670" lon="-121.016292583">
+ <ele>456.267944</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932693008" lon="-121.016271126">
+ <ele>459.151855</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932456974" lon="-121.016249668">
+ <ele>462.516479</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932242397" lon="-121.016271126">
+ <ele>464.439087</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.932049278" lon="-121.016335499">
+ <ele>466.361694</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931705955" lon="-121.016421329">
+ <ele>469.245728</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931341175" lon="-121.016528618">
+ <ele>472.129517</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.931148056" lon="-121.016614448">
+ <ele>475.494141</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930869106" lon="-121.016807567">
+ <ele>478.378174</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930633072" lon="-121.017022144">
+ <ele>480.781494</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930482868" lon="-121.017236721">
+ <ele>482.704102</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930418495" lon="-121.017365467">
+ <ele>483.665283</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930397037" lon="-121.017386925">
+ <ele>483.184692</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930354122" lon="-121.017408382">
+ <ele>483.665283</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930461410" lon="-121.017322552">
+ <ele>484.626709</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930482868" lon="-121.017322552">
+ <ele>484.626709</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930439953" lon="-121.017365467">
+ <ele>485.587891</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930461410" lon="-121.017386925">
+ <ele>485.107300</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930439953" lon="-121.017344009">
+ <ele>486.068604</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930418495" lon="-121.017344009">
+ <ele>486.068604</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930439953" lon="-121.017344009">
+ <ele>487.029907</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930439953" lon="-121.017816078">
+ <ele>484.145996</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930439953" lon="-121.017966282">
+ <ele>482.704102</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930547241" lon="-121.018438351">
+ <ele>480.781494</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930547241" lon="-121.018738758">
+ <ele>478.858887</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930568699" lon="-121.018888962">
+ <ele>477.416870</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930611614" lon="-121.019232284">
+ <ele>474.052124</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930611614" lon="-121.019382488">
+ <ele>472.610229</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930418495" lon="-121.019489776">
+ <ele>471.648926</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930225376" lon="-121.019468319">
+ <ele>467.323120</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930053715" lon="-121.019425403">
+ <ele>463.958496</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.930010799" lon="-121.019403946">
+ <ele>463.477905</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929882053" lon="-121.019232284">
+ <ele>461.074585</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929839138" lon="-121.019039165">
+ <ele>458.190552</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929817680" lon="-121.018974792">
+ <ele>458.190552</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929517273" lon="-121.018867504">
+ <ele>454.825928</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929216865" lon="-121.018846046">
+ <ele>451.942139</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.929066662" lon="-121.018824589">
+ <ele>450.500122</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928852085" lon="-121.018888962">
+ <ele>447.616211</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928530220" lon="-121.018888962">
+ <ele>443.290161</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928251270" lon="-121.019017708">
+ <ele>440.886963</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928229812" lon="-121.019039165">
+ <ele>439.925659</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.928143982" lon="-121.019039165">
+ <ele>439.444946</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927843574" lon="-121.018781673">
+ <ele>435.599731</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927800659" lon="-121.018695843">
+ <ele>434.638550</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927457336" lon="-121.018266689">
+ <ele>435.119141</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927307133" lon="-121.018159401">
+ <ele>432.235229</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927221302" lon="-121.018095028">
+ <ele>429.831909</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927071098" lon="-121.017944824">
+ <ele>427.428589</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.927028183" lon="-121.017515671">
+ <ele>426.467285</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926877979" lon="-121.017236721">
+ <ele>423.102783</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926856521" lon="-121.017193806">
+ <ele>423.102783</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926835064" lon="-121.017150890">
+ <ele>422.622070</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926770691" lon="-121.017065059">
+ <ele>422.141357</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926577572" lon="-121.017000686">
+ <ele>418.776855</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926448826" lon="-121.016936313">
+ <ele>416.854248</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926405910" lon="-121.016936313">
+ <ele>415.412354</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926277164" lon="-121.016893398">
+ <ele>413.970337</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926212791" lon="-121.016850483">
+ <ele>413.009033</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.926062588" lon="-121.016657364">
+ <ele>411.086304</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925912384" lon="-121.016464245">
+ <ele>408.202393</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925526146" lon="-121.015841972">
+ <ele>404.837769</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925354484" lon="-121.015670311">
+ <ele>404.357178</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925182823" lon="-121.015734684">
+ <ele>401.953979</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925075535" lon="-121.015670311">
+ <ele>400.031372</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925032619" lon="-121.015584480">
+ <ele>399.550659</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925011162" lon="-121.015455734">
+ <ele>400.992554</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.925011162" lon="-121.015434276">
+ <ele>401.473267</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924946789" lon="-121.015219700">
+ <ele>401.473267</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924689297" lon="-121.014940750">
+ <ele>401.473267</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924582008" lon="-121.014661800">
+ <ele>401.473267</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924496177" lon="-121.014297020">
+ <ele>400.992554</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924367431" lon="-121.013889324">
+ <ele>398.589355</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924367431" lon="-121.013610374">
+ <ele>394.263428</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924345974" lon="-121.013481628">
+ <ele>393.782715</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924367431" lon="-121.012837898">
+ <ele>395.224609</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924303058" lon="-121.012601864">
+ <ele>395.224609</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924152855" lon="-121.012709152">
+ <ele>390.898804</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924131397" lon="-121.012730610">
+ <ele>390.418213</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.924024109" lon="-121.012902271">
+ <ele>386.572998</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923981193" lon="-121.012988102">
+ <ele>385.611572</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923916820" lon="-121.013138305">
+ <ele>383.208374</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923873905" lon="-121.013224136">
+ <ele>382.247070</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923788074" lon="-121.013438713">
+ <ele>379.363037</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923680786" lon="-121.013460171">
+ <ele>378.882446</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923380379" lon="-121.013395798">
+ <ele>376.959839</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923122886" lon="-121.013395798">
+ <ele>374.075806</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923079971" lon="-121.013438713">
+ <ele>375.517822</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923058513" lon="-121.013481628">
+ <ele>375.037231</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923015598" lon="-121.013546001">
+ <ele>375.517822</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922908310" lon="-121.013760578">
+ <ele>371.672607</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922886852" lon="-121.013760578">
+ <ele>371.192017</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922865394" lon="-121.013803493">
+ <ele>369.750000</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922865394" lon="-121.013867866">
+ <ele>368.788696</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922886852" lon="-121.014146816">
+ <ele>367.346802</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922886852" lon="-121.014211189">
+ <ele>366.385376</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922843937" lon="-121.014254104">
+ <ele>365.904785</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922822479" lon="-121.014382850">
+ <ele>363.501465</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922843937" lon="-121.014447223">
+ <ele>362.540039</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923015598" lon="-121.014812004">
+ <ele>362.059448</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923165802" lon="-121.015005123">
+ <ele>364.462769</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923251633" lon="-121.015176784">
+ <ele>363.982056</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923337463" lon="-121.015369903">
+ <ele>360.617432</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923358921" lon="-121.015434276">
+ <ele>360.136841</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923401836" lon="-121.015498649">
+ <ele>358.694824</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923316006" lon="-121.015584480">
+ <ele>354.369019</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923294548" lon="-121.015563022">
+ <ele>353.888428</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923273090" lon="-121.015541565">
+ <ele>353.888428</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.923079971" lon="-121.015455734">
+ <ele>350.523804</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922843937" lon="-121.015348446">
+ <ele>347.639771</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922822479" lon="-121.015326988">
+ <ele>347.639771</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922650818" lon="-121.015262615">
+ <ele>344.275269</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922371868" lon="-121.015133869">
+ <ele>340.910645</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922092918" lon="-121.015241157">
+ <ele>337.546021</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922071461" lon="-121.015241157">
+ <ele>337.546021</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922028545" lon="-121.015241157">
+ <ele>336.584839</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921985630" lon="-121.015241157">
+ <ele>335.623413</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921985630" lon="-121.015241157">
+ <ele>336.104126</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921878341" lon="-121.015090954">
+ <ele>335.142822</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922007088" lon="-121.014704716">
+ <ele>333.700806</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.922050003" lon="-121.014361393">
+ <ele>335.142822</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921985630" lon="-121.014254104">
+ <ele>335.142822</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921449188" lon="-121.013653290">
+ <ele>331.778076</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921363357" lon="-121.013481628">
+ <ele>330.816895</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921213154" lon="-121.013073932">
+ <ele>326.490967</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920912746" lon="-121.012644779">
+ <ele>321.203857</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920762543" lon="-121.012473118">
+ <ele>317.358643</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920676712" lon="-121.012344372">
+ <ele>314.474487</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920633797" lon="-121.012301456">
+ <ele>313.513306</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920483593" lon="-121.012473118">
+ <ele>311.590698</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920204643" lon="-121.012923729">
+ <ele>307.745483</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920075897" lon="-121.013309967">
+ <ele>305.342163</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920183185" lon="-121.013739120">
+ <ele>301.496948</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920440677" lon="-121.014060985">
+ <ele>298.612915</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920483593" lon="-121.014125358">
+ <ele>298.132324</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920676712" lon="-121.014533054">
+ <ele>296.209717</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920762543" lon="-121.014640343">
+ <ele>294.767700</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920848373" lon="-121.014726173">
+ <ele>294.287109</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920869831" lon="-121.015069496">
+ <ele>292.364502</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920912746" lon="-121.015219700">
+ <ele>292.364502</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.921062950" lon="-121.015648853">
+ <ele>290.922485</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920977119" lon="-121.015713226">
+ <ele>290.922485</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920633797" lon="-121.015992176">
+ <ele>286.596680</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920612339" lon="-121.016013634">
+ <ele>286.596680</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920440677" lon="-121.016056549">
+ <ele>285.154663</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920183185" lon="-121.016421329">
+ <ele>283.712524</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920140270" lon="-121.016700279">
+ <ele>282.751343</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920140270" lon="-121.016871940">
+ <ele>281.309326</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920118812" lon="-121.017086517">
+ <ele>281.309326</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920204643" lon="-121.017301094">
+ <ele>280.348022</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920311931" lon="-121.017451298">
+ <ele>278.906128</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920376304" lon="-121.017580044">
+ <ele>278.906128</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920419220" lon="-121.017730247">
+ <ele>277.464111</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920311931" lon="-121.018116485">
+ <ele>276.022095</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920269016" lon="-121.018245231">
+ <ele>275.060913</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920226101" lon="-121.018502724">
+ <ele>274.580200</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.920011524" lon="-121.018824589">
+ <ele>271.696289</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919947151" lon="-121.019103538">
+ <ele>270.734985</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919882778" lon="-121.019639980">
+ <ele>267.850952</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+<trkpt lat="38.919925693" lon="-121.019876015">
+ <ele>267.370361</ele>
+ <time>2003-02-05T18:19:20Z</time>
+ <sym>Waypoint</sym>
+</trkpt>
+</trkseg>
+</trk>
+</gpx> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/gpx.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/gpx.xsd
new file mode 100644
index 0000000000..63d4640159
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/gpx/gpx.xsd
@@ -0,0 +1,227 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- GPX.xsd version 1.0 - For more information on GPX and this schema, visit http://www.topografix.com/gpx.asp -->
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema" xmlns:gpx="http://www.topografix.com/GPX/1/0" targetNamespace="http://www.topografix.com/GPX/1/0" elementFormDefault="qualified">
+
+<!-- Main GPX definition -->
+
+ <xsd:element name="gpx">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name" type="xsd:string" minOccurs="0"/> <!-- GPX file name -->
+ <xsd:element name="desc" type="xsd:string" minOccurs="0"/> <!-- GPX file description -->
+ <xsd:element name="author" type="xsd:string" minOccurs="0"/> <!-- GPX file author -->
+ <xsd:element name="email" type="gpx:emailType" minOccurs="0"/> <!-- GPX file author email -->
+ <xsd:element name="url" type="xsd:anyURI" minOccurs="0"/> <!-- GPX file URL -->
+ <xsd:element name="urlname" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="time" type="xsd:dateTime" minOccurs="0"/> <!-- GPX file creation time -->
+ <xsd:element name="keywords" type="xsd:string" minOccurs="0"/> <!-- GPX file keywords -->
+ <xsd:element name="bounds" type="gpx:boundsType" minOccurs="0"/> <!-- GPX file bounding rect -->
+ <xsd:element name="wpt" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence> <!-- elements must appear in this order -->
+ <!-- Position info -->
+ <xsd:element name="ele" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="time" type="xsd:dateTime" minOccurs="0"/>
+ <xsd:element name="magvar" type="gpx:degreesType" minOccurs="0"/>
+ <xsd:element name="geoidheight" type="xsd:decimal" minOccurs="0"/>
+
+ <!-- Description info -->
+ <xsd:element name="name" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="cmt" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="desc" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="src" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="url" type="xsd:anyURI" minOccurs="0"/>
+ <xsd:element name="urlname" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="sym" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="type" type="xsd:string" minOccurs="0"/>
+
+ <!-- Accuracy info -->
+ <xsd:element name="fix" type="gpx:fixType" minOccurs="0"/>
+ <xsd:element name="sat" type="xsd:nonNegativeInteger" minOccurs="0"/>
+ <xsd:element name="hdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="vdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="pdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="ageofdgpsdata" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="dgpsid" type="gpx:dgpsStationType" minOccurs="0"/>
+
+ <!-- you can add your own privately defined wpt elements at the end of the wpt -->
+ <xsd:any namespace="##other" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute name="lat" type="gpx:latitudeType" use="required"/>
+ <xsd:attribute name="lon" type="gpx:longitudeType" use="required"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="rte" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="cmt" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="desc" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="src" type="xsd:string" minOccurs="0"/> <!-- the source of this data: "Garmin eTrex", "Map", etc -->
+ <xsd:element name="url" type="xsd:anyURI" minOccurs="0"/>
+ <xsd:element name="urlname" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="number" type="xsd:nonNegativeInteger" minOccurs="0"/> <!-- GPS track number -->
+ <!-- <xsd:element name="type" type="xsd:string" minOccurs="0"/> PROPOSED -->
+ <!-- you can add your own privately defined rte elements at the end of the rte -->
+ <xsd:any namespace="##other" minOccurs="0" maxOccurs="unbounded"/>
+ <xsd:element name="rtept" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence> <!-- elements must appear in this order -->
+
+ <!-- Position info -->
+ <xsd:element name="ele" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="time" type="xsd:dateTime" minOccurs="0"/>
+ <xsd:element name="magvar" type="gpx:degreesType" minOccurs="0"/>
+ <xsd:element name="geoidheight" type="xsd:decimal" minOccurs="0"/>
+
+ <!-- Description info -->
+ <xsd:element name="name" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="cmt" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="desc" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="src" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="url" type="xsd:anyURI" minOccurs="0"/>
+ <xsd:element name="urlname" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="sym" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="type" type="xsd:string" minOccurs="0"/>
+
+ <!-- Accuracy info -->
+ <xsd:element name="fix" type="gpx:fixType" minOccurs="0"/>
+ <xsd:element name="sat" type="xsd:nonNegativeInteger" minOccurs="0"/>
+ <xsd:element name="hdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="vdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="pdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="ageofdgpsdata" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="dgpsid" type="gpx:dgpsStationType" minOccurs="0"/>
+
+ <!-- you can add your own privately defined rtept elements at the end of the rtept -->
+ <xsd:any namespace="##other" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute name="lat" type="gpx:latitudeType" use="required"/>
+ <xsd:attribute name="lon" type="gpx:longitudeType" use="required"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="trk" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="cmt" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="desc" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="src" type="xsd:string" minOccurs="0"/> <!-- the source of this data: "Garmin eTrex", "Map", etc -->
+ <xsd:element name="url" type="xsd:anyURI" minOccurs="0"/>
+ <xsd:element name="urlname" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="number" type="xsd:nonNegativeInteger" minOccurs="0"/> <!-- GPS track number -->
+ <!-- <xsd:element name="type" type="xsd:string" minOccurs="0"/> PROPOSED -->
+ <!-- you can add your own privately defined trk elements at the end of the trk -->
+ <xsd:any namespace="##other" minOccurs="0" maxOccurs="unbounded"/>
+ <xsd:element name="trkseg" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence> <!-- elements must appear in this order -->
+ <xsd:element name="trkpt" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence> <!-- elements must appear in this order -->
+
+ <!-- Position info -->
+ <xsd:element name="ele" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="time" type="xsd:dateTime" minOccurs="0"/>
+ <xsd:element name="course" type="gpx:degreesType" minOccurs="0"/>
+ <xsd:element name="speed" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="magvar" type="gpx:degreesType" minOccurs="0"/>
+ <xsd:element name="geoidheight" type="xsd:decimal" minOccurs="0"/>
+
+ <!-- Description info -->
+ <xsd:element name="name" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="cmt" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="desc" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="src" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="url" type="xsd:anyURI" minOccurs="0"/>
+ <xsd:element name="urlname" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="sym" type="xsd:string" minOccurs="0"/>
+ <xsd:element name="type" type="xsd:string" minOccurs="0"/>
+
+ <!-- Accuracy info -->
+ <xsd:element name="fix" type="gpx:fixType" minOccurs="0"/>
+ <xsd:element name="sat" type="xsd:nonNegativeInteger" minOccurs="0"/>
+ <xsd:element name="hdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="vdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="pdop" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="ageofdgpsdata" type="xsd:decimal" minOccurs="0"/>
+ <xsd:element name="dgpsid" type="gpx:dgpsStationType" minOccurs="0"/>
+
+ <!-- you can add your own privately defined trkpt elements at the end of the trkpt -->
+ <xsd:any namespace="##other" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute name="lat" type="gpx:latitudeType" use="required"/>
+ <xsd:attribute name="lon" type="gpx:longitudeType" use="required"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ </xsd:complexType>
+ </xsd:element>
+ <!-- you can add your own privately defined elements at the end of the GPX file -->
+ <xsd:any namespace="##other" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute name="version" type="xsd:string" use="required" fixed="1.0"/> <!-- version 1.0 -->
+ <xsd:attribute name="creator" type="xsd:string" use="required"/>
+ </xsd:complexType>
+ </xsd:element>
+
+ <!-- Other types used by GPX -->
+
+ <xsd:simpleType name="latitudeType">
+ <xsd:restriction base="xsd:decimal">
+ <xsd:minInclusive value="-90.0"/>
+ <xsd:maxInclusive value="90.0"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+
+ <xsd:simpleType name="longitudeType">
+ <xsd:restriction base="xsd:decimal">
+ <xsd:minInclusive value="-180.0"/>
+ <xsd:maxInclusive value="180.0"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+
+ <xsd:simpleType name="degreesType"> <!-- for bearing, heading, course. Units are degrees, true -->
+ <xsd:restriction base="xsd:decimal">
+ <xsd:minInclusive value="0.0"/>
+ <xsd:maxInclusive value="360.0"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+
+ <xsd:simpleType name="fixType">
+ <xsd:restriction base="xsd:string">
+ <xsd:enumeration value="none"/> <!-- none means GPS had no fix. To signify "the fix info is unknown, leave out the <fix> tag entirely -->
+ <xsd:enumeration value="2d"/>
+ <xsd:enumeration value="3d"/>
+ <xsd:enumeration value="dgps"/>
+ <xsd:enumeration value="pps"/> <!-- military signal used -->
+ </xsd:restriction>
+ </xsd:simpleType>
+
+ <xsd:simpleType name="dgpsStationType">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="1023"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+
+ <xsd:complexType name="boundsType"> <!-- bounding rect for data in file -->
+ <xsd:attribute name="minlat" type="gpx:latitudeType" use="required"/>
+ <xsd:attribute name="minlon" type="gpx:longitudeType" use="required"/>
+ <xsd:attribute name="maxlat" type="gpx:latitudeType" use="required"/>
+ <xsd:attribute name="maxlon" type="gpx:longitudeType" use="required"/>
+ </xsd:complexType>
+
+ <xsd:simpleType name="emailType">
+ <xsd:restriction base="xsd:string">
+ <xsd:pattern value="[\p{L}_]+(\.[\p{L}_]+)*@[\p{L}_]+(\.[\p{L}_]+)+"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+
+</xsd:schema> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/instance.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/instance.xml
new file mode 100644
index 0000000000..5bf398dd0d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/instance.xml
@@ -0,0 +1,149 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<?xml-stylesheet type="text/xsl" href="MeasDataCollection.xsl"?>
+<measCollecFile xmlns="http://www.3gpp.org/ftp/specs/archive/32_series/32.435#measCollec">
+ <fileHeader fileFormatVersion="32.435 V7.2.0"
+ vendorName="Ericsson AB"
+ dnPrefix="STP43">
+ <fileSender localDn="bs=18"
+ elementType="SBG"/>
+ <measCollec beginTime="2007-09-20T14:05:00+00:00"/>
+ </fileHeader>
+ <measData>
+ <managedElement localDn="bs=18"
+ userLabel="OMMP"
+ swVersion="R6A"/>
+ <measInfo measInfoId="l">
+ <job jobId="1"/>
+ <granPeriod duration="PT300S" endTime="2007-09-20T14:10:00+00:00"/>
+ <repPeriod duration="PT300S"/>
+ <measType p="1">ethernetStatsTxFrames</measType>
+ <measType p="2">ethernetStatsTxOctets</measType>
+ <measType p="3">ethernetStatsRxFrames</measType>
+ <measType p="4">ethernetStatsRxOctets</measType>
+ <measType p="5">ethernetStatsBroadcastTx</measType>
+ <measType p="6">ethernetStatsBroadcastRx</measType>
+ <measType p="7">ethernetStatsTxPauseFrames</measType>
+ <measType p="8">ethernetStatsRxMacFrames</measType>
+ <measType p="9">ethernetStatsMulticastRx</measType>
+ <measType p="10">ethernetStatsRxDiscarded</measType>
+ <measType p="11">ethernetStatsRxErrors</measType>
+ <measType p="12">ethernetStatsTxDiscarded</measType>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=7,EthernetInterface.port=1">
+ <r p="1">139197852</r>
+ <r p="2">19584465702</r>
+ <r p="3">144651440</r>
+ <r p="4">20348020750</r>
+ <r p="5">30</r>
+ <r p="6">27509</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">114575</r>
+ <r p="10">0</r>
+ <r p="11">8590076673</r>
+ <r p="12">0</r>
+ </measValue>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=7,EthernetInterface.port=2">
+ <r p="1">0</r>
+ <r p="2">0</r>
+ <r p="3">0</r>
+ <r p="4">0</r>
+ <r p="5">0</r>
+ <r p="6">0</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">0</r>
+ <r p="10">0</r>
+ <r p="11">0</r>
+ <r p="12">0</r>
+ </measValue>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=9,EthernetInterface.port=1">
+ <r p="1">2282798</r>
+ <r p="2">146099072</r>
+ <r p="3">2424888</r>
+ <r p="4">155302856</r>
+ <r p="5">3</r>
+ <r p="6">27515</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">114575</r>
+ <r p="10">0</r>
+ <r p="11">142081</r>
+ <r p="12">0</r>
+ </measValue>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=7,EthernetInterface.port=3">
+ <r p="1">0</r>
+ <r p="2">0</r>
+ <r p="3">0</r>
+ <r p="4">0</r>
+ <r p="5">0</r>
+ <r p="6">0</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">0</r>
+ <r p="10">0</r>
+ <r p="11">0</r>
+ <r p="12">0</r>
+ </measValue>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=9,EthernetInterface.port=2">
+ <r p="1">0</r>
+ <r p="2">0</r>
+ <r p="3">0</r>
+ <r p="4">0</r>
+ <r p="5">0</r>
+ <r p="6">0</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">0</r>
+ <r p="10">0</r>
+ <r p="11">0</r>
+ <r p="12">0</r>
+ </measValue>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=9,EthernetInterface.port=3">
+ <r p="1">0</r>
+ <r p="2">0</r>
+ <r p="3">0</r>
+ <r p="4">0</r>
+ <r p="5">0</r>
+ <r p="6">0</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">0</r>
+ <r p="10">0</r>
+ <r p="11">0</r>
+ <r p="12">0</r>
+ </measValue>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=7,EthernetInterface.port=0">
+ <r p="1">139211625</r>
+ <r p="2">19586502972</r>
+ <r p="3">153860245</r>
+ <r p="4">21661061980</r>
+ <r p="5">30</r>
+ <r p="6">3</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">68745</r>
+ <r p="10">0</r>
+ <r p="11">68745</r>
+ <r p="12">0</r>
+ </measValue>
+ <measValue measObjLdn="Mp.bsNo=18,MpBlade.subrack=0+slot=9,EthernetInterface.port=0">
+ <r p="1">2282798</r>
+ <r p="2">146099072</r>
+ <r p="3">2351552</r>
+ <r p="4">150499328</r>
+ <r p="5">3</r>
+ <r p="6">9</r>
+ <r p="7">0</r>
+ <r p="8">0</r>
+ <r p="9">68745</r>
+ <r p="10">0</r>
+ <r p="11">68745</r>
+ <r p="12">0</r>
+ </measValue>
+ </measInfo>
+ </measData>
+ <fileFooter>
+ <measCollec endTime="2007-09-20T14:10:00+00:00"/>
+ </fileFooter>
+</measCollecFile>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/int.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/int.xml
new file mode 100644
index 0000000000..9654bc56ce
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/int.xml
@@ -0,0 +1,4 @@
+<?xml version="1.0"?>
+<purchaseOrder>
+4
+</purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xml
new file mode 100644
index 0000000000..3771926dd2
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xml
@@ -0,0 +1,32 @@
+<?xml version="1.0"?>
+<ipo:purchaseOrder
+ xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
+ xmlns:ipo="http://www.example.com/IPO"
+ orderDate="1999-12-01">
+
+ <shipTo exportCode="1" xsi:type="ipo:UKAddress">
+ <name>Helen Zoe</name>
+ <street>47 Eden Street</street>
+ <city>Cambridge</city>
+ <postcode>CB1 1JR</postcode>
+ </shipTo>
+
+ <billTo xsi:type="ipo:USAddress">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+
+ <items>
+ <item partNum="833-AA">
+ <productName>Lapis necklace</productName>
+ <quantity>1</quantity>
+ <USPrice>99.95</USPrice>
+ <ipo:comment>Want this for the holidays!</ipo:comment>
+ <shipDate>1999-12-05</shipDate>
+ </item>
+ </items>
+</ipo:purchaseOrder>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xsd
new file mode 100644
index 0000000000..856c712e5a
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo.xsd
@@ -0,0 +1,60 @@
+<schema targetNamespace="http://www.example.com/IPO"
+ xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:ipo="http://www.example.com/IPO">
+
+ <annotation>
+ <documentation xml:lang="en">
+ International Purchase order schema for Example.com
+ Copyright 2000 Example.com. All rights reserved.
+ </documentation>
+ </annotation>
+
+ <!-- include address constructs -->
+ <include
+ schemaLocation="address.xsd"/>
+
+ <element name="purchaseOrder" type="ipo:PurchaseOrderType"/>
+
+ <element name="comment" type="string"/>
+
+ <complexType name="PurchaseOrderType">
+ <sequence>
+ <element name="shipTo" type="ipo:Address"/>
+ <element name="billTo" type="ipo:Address"/>
+ <element ref="ipo:comment" minOccurs="0"/>
+ <element name="items" type="ipo:Items"/>
+ </sequence>
+ <attribute name="orderDate" type="date"/>
+ </complexType>
+
+ <complexType name="Items">
+ <sequence>
+ <element name="item" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="productName" type="string"/>
+ <element name="quantity">
+ <simpleType>
+ <restriction base="positiveInteger">
+ <maxExclusive value="100"/>
+ </restriction>
+ </simpleType>
+ </element>
+ <element name="USPrice" type="decimal"/>
+ <element ref="ipo:comment" minOccurs="0"/>
+ <element name="shipDate" type="date" minOccurs="0"/>
+ </sequence>
+ <attribute name="partNum" type="ipo:SKU" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <simpleType name="SKU">
+ <restriction base="string">
+ <pattern value="\d{3}-[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xml
new file mode 100644
index 0000000000..3f39febc03
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xml
@@ -0,0 +1,34 @@
+<?xml version="1.0"?>
+<ipo:purchaseOrder
+ xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
+ xmlns:ipo="http://www.example.com/IPO"
+ orderDate="1999-12-01">
+
+ <shipTo exportCode="1" xsi:type="ipo:UKAddress">
+ <name>Helen Zoe</name>
+ <street>47 Eden Street</street>
+ <city>Cambridge</city>
+ <country>United Kingdom</country>
+ <postcode>CB1 1JR</postcode>
+ </shipTo>
+
+ <billTo xsi:type="ipo:USAddress">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <city>Old Town</city>
+ <country>USA</country>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+
+ <items>
+ <item partNum="833-AA">
+ <productName>Lapis necklace</productName>
+ <quantity>1</quantity>
+ <USPrice>99.95</USPrice>
+ <ipo:comment>Want this for the holidays!</ipo:comment>
+ <shipDate>1999-12-05</shipDate>
+ </item>
+ </items>
+</ipo:purchaseOrder>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xsd
new file mode 100644
index 0000000000..ad5e4884fd
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_redefine.xsd
@@ -0,0 +1,73 @@
+<schema targetNamespace="http://www.example.com/IPO"
+ xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:ipo="http://www.example.com/IPO">
+
+ <annotation>
+ <documentation xml:lang="en">
+ International Purchase order schema for Example.com
+ Copyright 2000 Example.com. All rights reserved.
+ </documentation>
+ </annotation>
+
+<!-- bring in address constructs -->
+ <redefine
+ schemaLocation="address.xsd">
+
+ <!-- redefinition of Address -->
+ <complexType name="Address">
+ <complexContent>
+ <extension base="ipo:Address">
+ <sequence>
+ <element name="country" type="string"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+
+ </redefine>
+
+ <element name="purchaseOrder" type="ipo:PurchaseOrderType"/>
+
+ <element name="comment" type="string"/>
+
+ <complexType name="PurchaseOrderType">
+ <sequence>
+ <element name="shipTo" type="ipo:Address"/>
+ <element name="billTo" type="ipo:Address"/>
+ <element ref="ipo:comment" minOccurs="0"/>
+ <element name="items" type="ipo:Items"/>
+ </sequence>
+ <attribute name="orderDate" type="date"/>
+ </complexType>
+
+ <complexType name="Items">
+ <sequence>
+ <element name="item" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="productName" type="string"/>
+ <element name="quantity">
+ <simpleType>
+ <restriction base="positiveInteger">
+ <maxExclusive value="100"/>
+ </restriction>
+ </simpleType>
+ </element>
+ <element name="USPrice" type="decimal"/>
+ <element ref="ipo:comment" minOccurs="0"/>
+ <element name="shipDate" type="date" minOccurs="0"/>
+ </sequence>
+ <attribute name="partNum" type="ipo:SKU" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <simpleType name="SKU">
+ <restriction base="string">
+ <pattern value="\d{3}-[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xml
new file mode 100644
index 0000000000..a889153dae
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xml
@@ -0,0 +1,37 @@
+<?xml version="1.0"?>
+<ipo:purchaseOrder
+ xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
+ xmlns:ipo="http://www.example.com/IPO"
+ orderDate="1999-12-01">
+
+ <shipTo exportCode="1" xsi:type="ipo:UKAddress">
+ <name>Helen Zoe</name>
+ <street>47 Eden Street</street>
+ <city>Cambridge</city>
+ <postcode>CB1 1JR</postcode>
+ </shipTo>
+
+ <billTo xsi:type="ipo:USAddress">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+
+ <items>
+ <item partNum="833-AA">
+ <productName>Lapis necklace</productName>
+ <quantity>1</quantity>
+ <USPrice>99.95</USPrice>
+ <ipo:shipComment>
+ Use gold wrap if possible
+ </ipo:shipComment>
+ <ipo:customerComment>
+ Want this for the holidays!
+ </ipo:customerComment>
+ <shipDate>1999-12-05</shipDate>
+ </item>
+ </items>
+</ipo:purchaseOrder>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xsd
new file mode 100644
index 0000000000..3899d0f5de
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ipo_substGroup.xsd
@@ -0,0 +1,65 @@
+<schema targetNamespace="http://www.example.com/IPO"
+ xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:ipo="http://www.example.com/IPO">
+
+ <annotation>
+ <documentation xml:lang="en">
+ International Purchase order schema for Example.com
+ Copyright 2000 Example.com. All rights reserved.
+ </documentation>
+ </annotation>
+
+ <!-- include address constructs -->
+ <include
+ schemaLocation="address.xsd"/>
+
+ <element name="purchaseOrder" type="ipo:PurchaseOrderType"/>
+
+ <element name="comment" type="string"/>
+
+ <element name="shipComment" type="string"
+ substitutionGroup="ipo:comment"/>
+ <element name="customerComment" type="string"
+ substitutionGroup="ipo:comment"/>
+
+ <complexType name="PurchaseOrderType">
+ <sequence>
+ <element name="shipTo" type="ipo:Address"/>
+ <element name="billTo" type="ipo:Address"/>
+ <element ref="ipo:comment" minOccurs="0"/>
+ <element name="items" type="ipo:Items"/>
+ </sequence>
+ <attribute name="orderDate" type="date"/>
+ </complexType>
+
+ <complexType name="Items">
+ <sequence>
+ <element name="item" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="productName" type="string"/>
+ <element name="quantity">
+ <simpleType>
+ <restriction base="positiveInteger">
+ <maxExclusive value="100"/>
+ </restriction>
+ </simpleType>
+ </element>
+ <element name="USPrice" type="decimal"/>
+ <element ref="ipo:comment" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="shipDate" type="date" minOccurs="0"/>
+ </sequence>
+ <attribute name="partNum" type="ipo:SKU" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <simpleType name="SKU">
+ <restriction base="string">
+ <pattern value="\d{3}-[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/measCollec.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/measCollec.xsd
new file mode 100644
index 0000000000..3e6614effd
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/measCollec.xsd
@@ -0,0 +1,160 @@
+<?xml version="1.0" encoding="UTF-8"?>
+
+<!--
+ 3GPP TS 32.435 Performance Measurement XML file format definition
+ data file XML schema
+ measCollec.xsd
+-->
+
+<schema
+ targetNamespace=
+"http://www.3gpp.org/ftp/specs/archive/32_series/32.435#measCollec"
+ elementFormDefault="qualified"
+ xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:mc=
+"http://www.3gpp.org/ftp/specs/archive/32_series/32.435#measCollec"
+>
+
+ <!-- Measurement collection data file root XML element -->
+
+ <element name="measCollecFile">
+ <complexType>
+ <sequence>
+ <element name="fileHeader">
+ <complexType>
+ <sequence>
+ <element name="fileSender">
+ <complexType>
+ <attribute name="localDn" type="string" use="optional"/>
+ <attribute name="elementType" type="string" use="optional"/>
+ </complexType>
+ </element>
+ <element name="measCollec">
+ <complexType>
+ <attribute name="beginTime" type="dateTime" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ <attribute name="fileFormatVersion" type="string" use="required"/>
+ <attribute name="vendorName" type="string" use="optional"/>
+ <attribute name="dnPrefix" type="string" use="optional"/>
+ </complexType>
+ </element>
+ <element name="measData" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="managedElement">
+ <complexType>
+ <attribute name="localDn" type="string" use="optional"/>
+ <attribute name="userLabel" type="string" use="optional"/>
+ <attribute name="swVersion" type="string" use="optional"/>
+ </complexType>
+ </element>
+ <element name="measInfo" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="job" minOccurs="0">
+ <complexType>
+ <attribute name="jobId" type="string" use="required"/>
+ </complexType>
+ </element>
+ <element name="granPeriod">
+ <complexType>
+ <attribute
+ name="duration"
+ type="duration"
+ use="required"
+ />
+ <attribute
+ name="endTime"
+ type="dateTime"
+ use="required"
+ />
+ </complexType>
+ </element>
+ <element name="repPeriod" minOccurs="0">
+ <complexType>
+ <attribute name="duration"
+ type="duration" use="required"/>
+ </complexType>
+ </element>
+ <choice>
+ <element name="measTypes">
+ <simpleType>
+ <list itemType="Name"/>
+ </simpleType>
+ </element>
+ <element name="measType"
+ minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <simpleContent>
+ <extension base="Name">
+ <attribute name="p"
+ type="positiveInteger" use="required"/>
+ </extension>
+ </simpleContent>
+ </complexType>
+ </element>
+ </choice>
+ <element name="measValue"
+ minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <choice>
+ <element name="measResults">
+ <simpleType>
+ <list itemType="mc:measResultType"/>
+ </simpleType>
+ </element>
+ <element name="r"
+ minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <simpleContent>
+ <extension base="mc:measResultType">
+ <attribute name="p" type="positiveInteger"
+ use="required"/>
+ </extension>
+ </simpleContent>
+ </complexType>
+ </element>
+ </choice>
+ <element name="suspect" type="boolean" minOccurs="0"/>
+ </sequence>
+ <attribute name="measObjLdn"
+ type="string" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ <attribute name="measInfoId"
+ type="string" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="fileFooter">
+ <complexType>
+ <sequence>
+ <element name="measCollec">
+ <complexType>
+ <attribute name="endTime" type="dateTime" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+ </element>
+
+ <simpleType name="measResultType">
+ <union memberTypes="float">
+ <simpleType>
+ <restriction base="string">
+ <enumeration value="NIL"/>
+ </restriction>
+ </simpleType>
+ </union>
+ </simpleType>
+
+</schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/mim.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/mim.xsd
new file mode 100755
index 0000000000..057344cde8
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/mim.xsd
@@ -0,0 +1,511 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified">
+ <!--
+
+ Copyright (c) Ericsson AB 2006 All rights reserved.
+
+ The information in this document is the property of Ericsson.
+
+ Except as specifically authorized in writing by Ericsson, the
+ receiver of this document shall keep the information contained
+ herein confidential and shall protect the same in whole or in
+ part from disclosure and dissemination to third parties.
+
+ Disclosure and disseminations to the receivers employees shall
+ only be made on a strict need to know basis.
+ -->
+ <!-- Common -->
+ <xs:element name="description" type="xs:string"/>
+ <xs:element name="applicationTag">
+ <xs:complexType>
+ <xs:group minOccurs="0" maxOccurs="unbounded" ref="anyElement"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:attributeGroup name="anyAttribute">
+ <xs:anyAttribute processContents="skip"/>
+ </xs:attributeGroup>
+ <xs:group name="anyElement">
+ <xs:sequence>
+ <xs:any processContents="skip"/>
+ </xs:sequence>
+ </xs:group>
+ <!-- Mim definition -->
+ <xs:element name="mim">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:group ref="namedStruct"/>
+ <xs:element ref="enum"/>
+ <xs:group ref="dataTypeDef"/>
+ <xs:element ref="exception"/>
+ </xs:choice>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="class"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="relationship"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <!-- MO class definition -->
+ <xs:element name="class">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:choice>
+ <xs:sequence>
+ <xs:element ref="singleton"/>
+ <xs:element minOccurs="0" ref="systemCreated"/>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:group ref="namedStruct"/>
+ <xs:element ref="enum"/>
+ <xs:group ref="dataTypeDef"/>
+ </xs:choice>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="attribute"/>
+ </xs:sequence>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="systemCreated"/>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:group ref="namedStruct"/>
+ <xs:element ref="enum"/>
+ <xs:group ref="dataTypeDef"/>
+ </xs:choice>
+ <xs:element maxOccurs="unbounded" ref="attribute"/>
+ </xs:sequence>
+ </xs:choice>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="action"/>
+ <xs:element minOccurs="0" ref="notificationTypes"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[A-Z][A-Za-z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="singleton">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="systemCreated">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="notificationTypes" type="xs:string"/>
+ <!-- Relations -->
+ <xs:element name="relationship">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:element ref="containment"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="containment">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="parent"/>
+ <xs:element ref="child"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="parent" type="hasClass"/>
+ <xs:element name="child">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="hasClass">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="cardinality"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="cardinality">
+ <xs:complexType>
+ <xs:choice>
+ <xs:element ref="min"/>
+ <xs:element ref="max"/>
+ <xs:sequence>
+ <xs:element ref="min"/>
+ <xs:element ref="max"/>
+ </xs:sequence>
+ </xs:choice>
+ </xs:complexType>
+ </xs:element>
+ <xs:complexType name="hasClass">
+ <xs:sequence>
+ <xs:element ref="hasClass"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="hasClass">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- Data types -->
+ <xs:group name="type">
+ <xs:choice>
+ <xs:element ref="boolean"/>
+ <xs:element ref="float"/>
+ <xs:element ref="integer"/>
+ <xs:element ref="string"/>
+ <xs:group ref="struct"/>
+ <xs:element ref="enumRef"/>
+ <xs:element ref="structRef"/>
+ <xs:element ref="moRef"/>
+ </xs:choice>
+ </xs:group>
+ <!-- For attributes, parameters and exceptions -->
+ <xs:group name="dataType">
+ <xs:sequence>
+ <xs:element name="dataType">
+ <xs:complexType>
+ <xs:choice>
+ <xs:group ref="type"/>
+ <xs:element ref="sequence"/>
+ </xs:choice>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:group name="dataTypeDef">
+ <xs:sequence>
+ <xs:element name="dataType">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:choice>
+ <xs:group ref="type"/>
+ <xs:element ref="sequence"/>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:group name="dataTypeRef">
+ <xs:sequence>
+ <xs:element name="dataType">
+ <xs:complexType>
+ <xs:attribute name="name.ref" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <!-- For actions -->
+ <xs:element name="returnType">
+ <xs:complexType>
+ <xs:choice>
+ <xs:element ref="void"/>
+ <xs:group ref="type"/>
+ <xs:element ref="sequence"/>
+ <xs:group ref="dataTypeRef"/>
+ </xs:choice>
+ </xs:complexType>
+ </xs:element>
+ <!-- Return data types -->
+ <xs:element name="void">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Primitive data types -->
+ <xs:element name="boolean">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="float">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="range"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="integer">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="range"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="string">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="lengthRange"/>
+ <xs:element minOccurs="0" ref="validValues"/>
+ <xs:element minOccurs="0" ref="pattern"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="pattern" type="xs:string"/>
+ <xs:element name="validValues" type="xs:string"/>
+ <xs:element name="lengthRange">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="min"/>
+ <xs:sequence minOccurs="0" maxOccurs="unbounded">
+ <xs:element ref="min"/>
+ <xs:element ref="max"/>
+ </xs:sequence>
+ <xs:element minOccurs="0" ref="max"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="range">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="min"/>
+ <xs:sequence minOccurs="0" maxOccurs="unbounded">
+ <xs:element ref="min"/>
+ <xs:element ref="max"/>
+ </xs:sequence>
+ <xs:element minOccurs="0" ref="max"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="max" type="xs:string"/>
+ <xs:element name="min" type="xs:string"/>
+ <xs:element name="defaultValue" type="xs:string"/>
+ <!-- Enum data type -->
+ <xs:element name="enum">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:element maxOccurs="unbounded" ref="enumMember"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="enumMember">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:element minOccurs="0" ref="value"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="enumRef">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="value" type="xs:string"/>
+ <!-- Struct data type -->
+ <xs:group name="namedStruct">
+ <xs:sequence>
+ <xs:element name="struct">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:element maxOccurs="unbounded" ref="structMember"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:group name="struct">
+ <xs:sequence>
+ <xs:element name="struct">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element maxOccurs="unbounded" ref="structMember"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:element name="structMember">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:choice>
+ <xs:group ref="type"/>
+ <xs:element ref="sequence"/>
+ <xs:group ref="dataTypeRef"/>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="structRef">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- Sequence data type -->
+ <xs:element name="sequence">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:choice>
+ <xs:group ref="type"/>
+ <xs:group ref="dataTypeRef"/>
+ </xs:choice>
+ <xs:element minOccurs="0" ref="minLength"/>
+ <xs:element minOccurs="0" ref="maxLength"/>
+ <xs:element minOccurs="0" ref="nonUnique"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="minLength" type="xs:string"/>
+ <xs:element name="maxLength" type="xs:string"/>
+ <xs:element name="nonUnique">
+ <xs:complexType/>
+ </xs:element>
+ <!-- MoRef data type -->
+ <xs:element name="moRef">
+ <xs:complexType>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="(/[A-Z][A-Za-z0-9]*)*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <!-- Attribute -->
+ <xs:element name="attribute">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag">
+ <xs:annotation>
+ <xs:documentation>Currently not used in IS.</xs:documentation>
+ </xs:annotation>
+ </xs:element>
+ <xs:choice>
+ <xs:group ref="dataType"/>
+ <xs:group ref="dataTypeRef"/>
+ </xs:choice>
+ <xs:element minOccurs="0" ref="initialValue"/>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:element ref="noNotification"/>
+ <xs:element ref="restricted"/>
+ <xs:element ref="readOnly"/>
+ <xs:choice>
+ <xs:element ref="key"/>
+ <xs:choice>
+ <xs:element ref="local"/>
+ <xs:element ref="mandatory"/>
+ <xs:element ref="nonPersistent"/>
+ <xs:element ref="static"/>
+ <xs:element ref="undefined"/>
+ </xs:choice>
+ </xs:choice>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[a-z][a-zA-Z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="initialValue" type="xs:string"/>
+ <xs:element name="key">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="local">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="mandatory">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="noNotification">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="nonPersistent">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="readOnly">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="restricted">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="static">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="undefined">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Action -->
+ <xs:element name="action">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:element ref="returnType"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="parameter"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="raisesException"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[a-z][a-zA-Z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="parameter">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:choice>
+ <xs:group ref="dataType"/>
+ <xs:group ref="dataTypeRef"/>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="exception">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="exceptionParameter"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="exceptionParameter">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="applicationTag"/>
+ <xs:choice>
+ <xs:group ref="dataType"/>
+ <xs:group ref="dataTypeRef"/>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="raisesException">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+</xs:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1.xsd
new file mode 100644
index 0000000000..3509d13da7
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1.xsd
@@ -0,0 +1,1531 @@
+<!--
+ Configuration data file vendor-specific XML schema
+ EricssonSpecificAttributes.5.1.xsd
+ Includes Transport.
+ -->
+<schema xmlns:es="EricssonSpecificAttributes.5.1.xsd" xmlns:xn="genericNrm.xsd" xmlns="http://www.w3.org/2001/XMLSchema" targetNamespace="EricssonSpecificAttributes.5.1.xsd" elementFormDefault="qualified" attributeFormDefault="unqualified">
+ <!-- EricssonSpecificAttributes version 5.0 vendor-specific data -->
+ <import namespace="genericNrm.xsd" schemaLocation="genericNrm.xsd"/>
+ <include schemaLocation="EricssonSpecificAttributes.5.1Tran.xsd"/>
+ <element name="vsDataAntennaBranch" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="branchName" minOccurs="0"/>
+ <element name="antennaType" minOccurs="0"/>
+ <element name="verticalAntennaTilt" minOccurs="0"/>
+ <element name="mechanicalAntennaTilt" minOccurs="0"/>
+ <element name="antennaSupervisionThreshold" minOccurs="0"/>
+ <element name="fqBandHighEdge" minOccurs="0"/>
+ <element name="fqBandLowEdge" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataAntFeederCable" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="ulAttenuation" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="dlAttenuation" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="objectBConnector" minOccurs="0"/>
+ <element name="connectedToObjectBRef" minOccurs="0"/>
+ <element name="electricalUlDelay" minOccurs="0"/>
+ <element name="electricalDlDelay" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataAreas" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataCchFrameSynch" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="dto" minOccurs="0"/>
+ <element name="doStep" minOccurs="0"/>
+ <element name="toAWS" minOccurs="0"/>
+ <element name="toAWE" minOccurs="0"/>
+ <element name="toAE" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataChannelSwitching" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="dlRlcBufUpswitch" minOccurs="0"/>
+ <element name="ulRlcBufUpswitch" minOccurs="0"/>
+ <element name="downswitchThreshold" minOccurs="0"/>
+ <element name="downswitchTimerThreshold" minOccurs="0"/>
+ <element name="downswitchTimer" minOccurs="0"/>
+ <element name="dlRlcBufUpswitchMrab" minOccurs="0"/>
+ <element name="ulRlcBufUpswitchMrab" minOccurs="0"/>
+ <element name="upswitchTimer" minOccurs="0"/>
+ <element name="coverageTimer" minOccurs="0"/>
+ <element name="inactivityTimer" minOccurs="0"/>
+ <element name="downswitchPwrMargin" minOccurs="0"/>
+ <element name="reportHysteresis" minOccurs="0"/>
+ <element name="upswitchPwrMargin" minOccurs="0"/>
+ <element name="downswitchTimerUp" minOccurs="0"/>
+ <element name="downswitchTimerSp" minOccurs="0"/>
+ <element name="hsdschInactivityTimer" minOccurs="0"/>
+ <element name="bandwidthMarginUl" minOccurs="0"/>
+ <element name="upswitchTimerUl" minOccurs="0"/>
+ <element name="bandwidthMargin" minOccurs="0"/>
+ <element name="dlDownswitchBandwidthMargin" minOccurs="0"/>
+ <element name="dlThroughputAllowUpswitchThreshold" minOccurs="0"/>
+ <element name="dlThroughputDownswitchTimer" minOccurs="0"/>
+ <element name="inactivityTimerPch" minOccurs="0"/>
+ <element name="multiRabSp0Available" minOccurs="0"/>
+ <element name="multiRabUdi8Available" minOccurs="0"/>
+ <element name="ulThroughputAllowUpswitchThreshold" minOccurs="0"/>
+ <element name="ulThroughputDownswitchTimer" minOccurs="0"/>
+ <element name="ulDownswitchBandwidthMargin" minOccurs="0"/>
+ <element name="inactivityTimeMultiPsInteractive" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataDchFrameSynch" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="dto" minOccurs="0"/>
+ <element name="doStep" minOccurs="0"/>
+ <element name="toAWS" minOccurs="0"/>
+ <element name="toAWE" minOccurs="0"/>
+ <element name="toAE" minOccurs="0"/>
+ <element name="uto" minOccurs="0"/>
+ <element name="uoStep" minOccurs="0"/>
+ <element name="toAWEUl" minOccurs="0"/>
+ <element name="toAEUl" minOccurs="0"/>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="toAWSUl" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataAuxPlugInUnit" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataEquipment" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataPlmn" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ <element name="aliasPlmnIdentities" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataExternalGsmCell" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="maxTxPowerUl" minOccurs="0"/>
+ <element name="qRxLevMin" minOccurs="0"/>
+ <element name="individualOffset" minOccurs="0"/>
+ <element name="parentSystem" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ <element name="bandIndicator" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataExternalGsmPlmn" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ <element name="aliasPlmnIdentities" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataExternalTma" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="dlAttenuation" minOccurs="0"/>
+ <element name="ulGain" minOccurs="0"/>
+ <element name="dlTrafficDelayA" minOccurs="0"/>
+ <element name="dlTrafficDelayB" minOccurs="0"/>
+ <element name="internalPower" minOccurs="0"/>
+ <element name="ulTrafficDelayA" minOccurs="0"/>
+ <element name="ulTrafficDelayB" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataExternalUtranCell" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="qQualMin" minOccurs="0"/>
+ <element name="qRxLevMin" minOccurs="0"/>
+ <element name="maxTxPowerUl" minOccurs="0"/>
+ <element name="individualOffset" minOccurs="0"/>
+ <element name="parentSystem" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ <element name="agpsEnabled" minOccurs="0"/>
+ <element name="cellCapability" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="hsdschSupport" minOccurs="0"/>
+ <element name="edchSupport" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataExternalUtranPlmn" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ <element name="reservedBy" minOccurs="0"/>
+ <element name="aliasPlmnIdentities" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataFach" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="maxFach1Power" minOccurs="0"/>
+ <element name="maxFach2Power" minOccurs="0"/>
+ <element name="sccpchOffset" minOccurs="0"/>
+ <element name="pOffset1Fach" minOccurs="0"/>
+ <element name="pOffset3Fach" minOccurs="0"/>
+ <element name="administrativeState" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataGsmRelation" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="qOffset1sn" minOccurs="0"/>
+ <element name="mobilityRelationType" minOccurs="0"/>
+ <element name="selectionPriority" minOccurs="0"/>
+ <element name="externalGsmCellRef" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataHandover" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="maxActiveSet" minOccurs="0"/>
+ <element name="fddGsmHOSupp" minOccurs="0"/>
+ <element name="selHoSup" minOccurs="0"/>
+ <element name="timeReleaseIuPs" minOccurs="0"/>
+ <element name="gsmAmountPropRepeat" minOccurs="0"/>
+ <element name="gsmPropRepeatInterval" minOccurs="0"/>
+ <element name="ifhoPropRepeatInterval" minOccurs="0"/>
+ <element name="ifhoAmountPropRepeat" minOccurs="0"/>
+ <element name="fddIfhoSupp" minOccurs="0"/>
+ <element name="hoTypeDrncBand1" minOccurs="0"/>
+ <element name="hoTypeDrncBand2" minOccurs="0"/>
+ <element name="hoTypeDrncBand3" minOccurs="0"/>
+ <element name="hoTypeDrncBand4" minOccurs="0"/>
+ <element name="hoTypeDrncBand5" minOccurs="0"/>
+ <element name="hoTypeDrncBand6" minOccurs="0"/>
+ <element name="hoTypeDrncBand7" minOccurs="0"/>
+ <element name="hoTypeDrncBand8" minOccurs="0"/>
+ <element name="hoTypeDrncBand9" minOccurs="0"/>
+ <element name="hoTypeDrncBand10" minOccurs="0"/>
+ <element name="hoTypeDrncBand11" minOccurs="0"/>
+ <element name="hoTypeDrncBand12" minOccurs="0"/>
+ <element name="releaseConnOffset" minOccurs="0"/>
+ <element name="hoTypeDrncBand13" minOccurs="0"/>
+ <element name="hoTypeDrncBand14" minOccurs="0"/>
+ <element name="hoTypeDrncBand15" minOccurs="0"/>
+ <element name="hoTypeDrncBand16" minOccurs="0"/>
+ <element name="hoTypeDrncBand17" minOccurs="0"/>
+ <element name="maxGsmMonSubset" minOccurs="0"/>
+ <element name="maxIefMonSubset" minOccurs="0"/>
+ <element name="cnhhoSupp" minOccurs="0"/>
+ <element name="interFreqCnhhoPenaltyEcno" minOccurs="0"/>
+ <element name="interFreqCnhhoPenaltyRscp" minOccurs="0"/>
+ <element name="intraFreqCnhhoPenalty" minOccurs="0"/>
+ <element name="intraFreqCnhhoWeight" minOccurs="0"/>
+ <element name="serviceBasedHoSupport" minOccurs="0"/>
+ <element name="tmStopGsmMeas" minOccurs="0"/>
+ <element name="eulReservedHwBandwidthSchedDataNonServCell" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataIubLink" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="rbsId" minOccurs="0"/>
+ <element name="beMarginDlHw" minOccurs="0"/>
+ <element name="dlHwAdm" minOccurs="0"/>
+ <element name="ulHwAdm" minOccurs="0"/>
+ <element name="beMarginUlHw" minOccurs="0"/>
+ <element name="rncModuleRef" minOccurs="0"/>
+ <element name="preferredSubrackRef" minOccurs="0"/>
+ <element name="edchIubDynDelayLimit" minOccurs="0"/>
+ <element name="userPlaneTransportOption" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="atm" minOccurs="0"/>
+ <element name="ipv4" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataIurLink" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ <element name="rncId" minOccurs="0"/>
+ <element name="aliasPlmnIdentities" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="utranNetworkRef" minOccurs="0"/>
+ <element name="cellCapabilityControl" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="hsdschSupport" minOccurs="0"/>
+ <element name="edchSupport" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="hspaPathlossThreshold" minOccurs="0"/>
+ <element name="userPlaneTransportOption" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="atm" minOccurs="0"/>
+ <element name="ipv4" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataLocationArea" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="lac" minOccurs="0"/>
+ <element name="t3212" minOccurs="0"/>
+ <element name="att" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataMeContext" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="ipAddress" minOccurs="0"/>
+ <element name="neMIMversion" minOccurs="0"/>
+ <element name="rbsIubId" minOccurs="0"/>
+ <element name="bcrLastChange" minOccurs="0"/>
+ <element name="bctLastChange" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataNodeBFunction" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="toaeDch" minOccurs="0"/>
+ <element name="toaeCch" minOccurs="0"/>
+ <element name="fwdGuardPeriod" minOccurs="0"/>
+ <element name="bwdGuardPeriod" minOccurs="0"/>
+ <element name="tpcFilterLength" minOccurs="0"/>
+ <element name="branchDiffAbsTime" minOccurs="0"/>
+ <element name="branchDiffMeasTime" minOccurs="0"/>
+ <element name="countersAlarmThreshold" minOccurs="0"/>
+ <element name="maxNumberOfCounters" minOccurs="0"/>
+ <element name="steeredHsAllocation" minOccurs="0"/>
+ <element name="eulNoReschUsers" minOccurs="0"/>
+ <element name="eulTargetRate" minOccurs="0"/>
+ <element name="eulMaxShoRate" minOccurs="0"/>
+ <element name="supportOf16qam" minOccurs="0"/>
+ <element name="flexibleSchedulerOn" minOccurs="0"/>
+ <element name="eulReservedHwBandwidthSchedDataNonServCell" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataPaging" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="cnDrxCycleLengthCs" minOccurs="0"/>
+ <element name="cnDrxCycleLengthPs" minOccurs="0"/>
+ <element name="noOfPagingRecordTransm" minOccurs="0"/>
+ <element name="utranDrxCycleLength" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataPch" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="pchPower" minOccurs="0"/>
+ <element name="pichPower" minOccurs="0"/>
+ <element name="sccpchOffset" minOccurs="0"/>
+ <element name="administrativeState" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataPowerControl" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="cBackOff" minOccurs="0"/>
+ <element name="ulOuterLoopRegulator" minOccurs="0"/>
+ <element name="ulSirStep" minOccurs="0"/>
+ <element name="sirMin" minOccurs="0"/>
+ <element name="sirMax" minOccurs="0"/>
+ <element name="dlPcMethod" minOccurs="0"/>
+ <element name="cPO" minOccurs="0"/>
+ <element name="pO1" minOccurs="0"/>
+ <element name="pO2" minOccurs="0"/>
+ <element name="pO3" minOccurs="0"/>
+ <element name="ecNoPcpichDefault" minOccurs="0"/>
+ <element name="pcpichPowerDefault" minOccurs="0"/>
+ <element name="fixedPowerDl" minOccurs="0"/>
+ <element name="cNbifho" minOccurs="0"/>
+ <element name="fixedRefPower" minOccurs="0"/>
+ <element name="initShoPowerParam" minOccurs="0"/>
+ <element name="dlInitSirTarget" minOccurs="0"/>
+ <element name="ulInitSirTargetExtraHigh" minOccurs="0"/>
+ <element name="ulInitSirTargetHigh" minOccurs="0"/>
+ <element name="ulInitSirTargetLow" minOccurs="0"/>
+ <element name="ulInitSirTargetSrb" minOccurs="0"/>
+ <element name="transmissionTargetError" minOccurs="0"/>
+ <element name="ulInitSirTargetEdch" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRach" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="scramblingCodeWordNo" minOccurs="0"/>
+ <element name="preambleSignatures" minOccurs="0"/>
+ <element name="subChannelNo" minOccurs="0"/>
+ <element name="aichTransmissionTiming" minOccurs="0"/>
+ <element name="aichPower" minOccurs="0"/>
+ <element name="powerOffsetP0" minOccurs="0"/>
+ <element name="powerOffsetPpm" minOccurs="0"/>
+ <element name="preambleRetransMax" minOccurs="0"/>
+ <element name="maxPreambleCycle" minOccurs="0"/>
+ <element name="constantValueCprach" minOccurs="0"/>
+ <element name="spreadingFactor" minOccurs="0"/>
+ <element name="administrativeState" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRbsLocalCell" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="localCellId" minOccurs="0"/>
+ <element name="cellRange" minOccurs="0"/>
+ <element name="carrierRef" minOccurs="0"/>
+ <element name="dynamicHsPdschCodeAdditionOn" minOccurs="0"/>
+ <element name="hsCodeResourceId" minOccurs="0"/>
+ <element name="maxNumHsPdschCodes" minOccurs="0"/>
+ <element name="eulMaxRotCoverage" minOccurs="0"/>
+ <element name="eulMaxOwnUuLoad" minOccurs="0"/>
+ <element name="maxUserEHichERgchPowerDl" minOccurs="0"/>
+ <element name="eulMaxNoSchEDch" minOccurs="0"/>
+ <element name="eulMinMarginCoverage" minOccurs="0"/>
+ <element name="eulOptimalNoiseFloorLock" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="eulNoiseFloorLock" minOccurs="0"/>
+ <element name="eulOptimalNoiseFloorEstimate" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="eulSlidingWindowTime" minOccurs="0"/>
+ <element name="eulThermalLevelPrior" minOccurs="0"/>
+ <element name="eulNoERgchGroups" minOccurs="0"/>
+ <element name="maxEAgchPowerDl" minOccurs="0"/>
+ <element name="maxNumHsdpaUsers" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRcs" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="dchRcLostT" minOccurs="0"/>
+ <element name="cchWaitCuT" minOccurs="0"/>
+ <element name="hsDschRcLostT" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRetDevice" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="electricalAntennaTilt" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRetDeviceSet" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="retType" minOccurs="0"/>
+ <element name="productNumber" minOccurs="0"/>
+ <element name="revState" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <!--element name="vsDataRetProfile" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element-->
+ <element name="vsDataRetuDeviceGroup" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRoutingArea" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="rac" minOccurs="0"/>
+ <element name="nmo" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRrc" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="t305" minOccurs="0"/>
+ <element name="packetEstMode" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataSector" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="numberOfCarriers" minOccurs="0"/>
+ <element name="numberOfTxBranches" minOccurs="0"/>
+ <element name="outputPower" minOccurs="0"/>
+ <element name="latitude" minOccurs="0"/>
+ <element name="latHemisphere" minOccurs="0"/>
+ <element name="longitude" minOccurs="0"/>
+ <element name="longHemisphere" minOccurs="0"/>
+ <element name="geoDatum" minOccurs="0"/>
+ <element name="beamDirection" minOccurs="0"/>
+ <element name="height" minOccurs="0"/>
+ <element name="sectorAntennaRef" minOccurs="0"/>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="retDeviceRef" minOccurs="0"/>
+ <element name="maxInternalUlGainOn" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataSectorAntenna" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="antennaType" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataCarrier" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="cqiAdjustmentOn" minOccurs="0"/>
+ <element name="cqiErrors" minOccurs="0"/>
+ <element name="cqiErrorsAbsent" minOccurs="0"/>
+ <element name="hsPowerMargin" minOccurs="0"/>
+ <element name="hsScchMaxCodePower" minOccurs="0"/>
+ <element name="queueSelectAlgorithm" minOccurs="0"/>
+ <element name="frequencyPlane" minOccurs="0"/>
+ <element name="hsScchMinCodePower" minOccurs="0"/>
+ <element name="qualityCheckPower" minOccurs="0"/>
+ <element name="supportOf16qam" minOccurs="0"/>
+ <element name="airRateTypeSelector" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataServiceArea" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="sac" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataSid" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="updateCellReattsNo" minOccurs="0"/>
+ <element name="noOfMaxDrxCycles" minOccurs="0"/>
+ <element name="noOfMibValueTagRetrans" minOccurs="0"/>
+ <element name="sib1" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="sib1RepPeriod" minOccurs="0"/>
+ <element name="sib1StartPos" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="sib11" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="sib11RepPeriod" minOccurs="0"/>
+ <element name="sib11StartPos" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="sib12" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="sib12RepPeriod" minOccurs="0"/>
+ <element name="sib12StartPos" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="sib3" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="sib3RepPeriod" minOccurs="0"/>
+ <element name="sib3StartPos" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="sib5" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="sib5RepPeriod" minOccurs="0"/>
+ <element name="sib5StartPos" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="sib7" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="sib7RepPeriod" minOccurs="0"/>
+ <element name="sib7StartPos" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="sib7ExpirationTimeFactor" minOccurs="0"/>
+ <element name="sib2" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="sib2RepPeriod" minOccurs="0"/>
+ <element name="sib2StartPos" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeMeasControl" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="reportingRange1a" minOccurs="0"/>
+ <element name="reportingRange1b" minOccurs="0"/>
+ <element name="hysteresis1c" minOccurs="0"/>
+ <element name="hysteresis1d" minOccurs="0"/>
+ <element name="hysteresis2d" minOccurs="0"/>
+ <element name="hysteresis2f" minOccurs="0"/>
+ <element name="gsmThresh3a" minOccurs="0"/>
+ <element name="hysteresis3a" minOccurs="0"/>
+ <element name="measQuantity1" minOccurs="0"/>
+ <element name="ueTxPowerThresh6a" minOccurs="0"/>
+ <element name="ueTxPowerThresh6b" minOccurs="0"/>
+ <element name="usedFreqThresh2dEcnoDrnc" minOccurs="0"/>
+ <element name="usedFreqThresh2dRscpDrnc" minOccurs="0"/>
+ <element name="usedFreqRelThresh2fEcno" minOccurs="0"/>
+ <element name="usedFreqRelThresh2fRscp" minOccurs="0"/>
+ <element name="hyst4_2b" minOccurs="0"/>
+ <element name="nonUsedFreqThresh4_2bEcno" minOccurs="0"/>
+ <element name="nonUsedFreqThresh4_2bRscp" minOccurs="0"/>
+ <element name="timeToTrigger1a" minOccurs="0"/>
+ <element name="hysteresis1a" minOccurs="0"/>
+ <element name="timeToTrigger1b" minOccurs="0"/>
+ <element name="hysteresis1b" minOccurs="0"/>
+ <element name="timeToTrigger1c" minOccurs="0"/>
+ <element name="timeToTrigger1d" minOccurs="0"/>
+ <element name="timeToTrigger3a" minOccurs="0"/>
+ <element name="reportingInterval1a" minOccurs="0"/>
+ <element name="reportingInterval1c" minOccurs="0"/>
+ <element name="timeTrigg6a" minOccurs="0"/>
+ <element name="timeTrigg6b" minOccurs="0"/>
+ <element name="timeTrigg4_2b" minOccurs="0"/>
+ <element name="hsHysteresis1d" minOccurs="0"/>
+ <element name="hsQualityEstimate" minOccurs="0"/>
+ <element name="hsTimeToTrigger1d" minOccurs="0"/>
+ <element name="filterCoefficient1" minOccurs="0"/>
+ <element name="filterCoefficient2" minOccurs="0"/>
+ <element name="utranFilterCoefficient3" minOccurs="0"/>
+ <element name="gsmFilterCoefficient3" minOccurs="0"/>
+ <element name="filterCoeff6" minOccurs="0"/>
+ <element name="filterCoeff4_2b" minOccurs="0"/>
+ <element name="w1a" minOccurs="0"/>
+ <element name="w1b" minOccurs="0"/>
+ <element name="usedFreqW2d" minOccurs="0"/>
+ <element name="usedFreqW2f" minOccurs="0"/>
+ <element name="usedFreqW4_2b" minOccurs="0"/>
+ <element name="utranW3a" minOccurs="0"/>
+ <element name="nonUsedFreqW4_2b" minOccurs="0"/>
+ <element name="timeToTrigger2dEcno" minOccurs="0"/>
+ <element name="timeToTrigger2fEcno" minOccurs="0"/>
+ <element name="utranRelThresh3aEcno" minOccurs="0"/>
+ <element name="utranRelThresh3aRscp" minOccurs="0"/>
+ <element name="usedFreqRelThresh4_2bEcno" minOccurs="0"/>
+ <element name="usedFreqRelThresh4_2bRscp" minOccurs="0"/>
+ <element name="timeToTrigger2dRscp" minOccurs="0"/>
+ <element name="timeToTrigger2fRscp" minOccurs="0"/>
+ <element name="utranRelThreshRscp" minOccurs="0"/>
+ <element name="event1dRncThreshold" minOccurs="0"/>
+ <element name="event1dRncOffset" minOccurs="0"/>
+ <element name="timeToTrigger6d" minOccurs="0"/>
+ <element name="txPowerConnQualMonEnabled" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeRc" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="serviceOffset2dEcno" minOccurs="0"/>
+ <element name="serviceOffset2dRscp" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeRcTrCh" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="blerQualityTargetDl" minOccurs="0"/>
+ <element name="blerQualityTargetUl" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUtranCell" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="tCell" minOccurs="0"/>
+ <element name="cellReserved" minOccurs="0"/>
+ <element name="treSelection" minOccurs="0"/>
+ <element name="qualMeasQuantity" minOccurs="0"/>
+ <element name="qHyst1" minOccurs="0"/>
+ <element name="qHyst2" minOccurs="0"/>
+ <element name="qQualMin" minOccurs="0"/>
+ <element name="qRxLevMin" minOccurs="0"/>
+ <element name="individualOffset" minOccurs="0"/>
+ <element name="pwrAdm" minOccurs="0"/>
+ <element name="pwrAdmOffset" minOccurs="0"/>
+ <element name="pwrOffset" minOccurs="0"/>
+ <element name="pwrHyst" minOccurs="0"/>
+ <element name="tmCongAction" minOccurs="0"/>
+ <element name="releaseAseDl" minOccurs="0"/>
+ <element name="aseDlAdm" minOccurs="0"/>
+ <element name="dlCodeAdm" minOccurs="0"/>
+ <element name="aseUlAdm" minOccurs="0"/>
+ <element name="sf8Adm" minOccurs="0"/>
+ <element name="sf32Adm" minOccurs="0"/>
+ <element name="aseUlAdmOffset" minOccurs="0"/>
+ <element name="minPwrRl" minOccurs="0"/>
+ <element name="maxRate" minOccurs="0"/>
+ <element name="interRate" minOccurs="0"/>
+ <element name="minimumRate" minOccurs="0"/>
+ <element name="maxPwrMax" minOccurs="0"/>
+ <element name="interPwrMax" minOccurs="0"/>
+ <element name="minPwrMax" minOccurs="0"/>
+ <element name="compModeAdm" minOccurs="0"/>
+ <element name="iFOffset" minOccurs="0"/>
+ <element name="iFHyst" minOccurs="0"/>
+ <element name="iFCong" minOccurs="0"/>
+ <element name="interFreqFddMeasIndicator" minOccurs="0"/>
+ <element name="sRatSearch" minOccurs="0"/>
+ <element name="sIntraSearch" minOccurs="0"/>
+ <element name="sInterSearch" minOccurs="0"/>
+ <element name="fachMeasOccaCycLenCoeff" minOccurs="0"/>
+ <element name="accessClassNBarred" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="utranCellPosition" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="maxTxPowerUl" minOccurs="0"/>
+ <element name="beMarginAseUl" minOccurs="0"/>
+ <element name="beMarginAseDl" minOccurs="0"/>
+ <element name="reservedBy" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="sib1PlmnScopeValueTag" minOccurs="0"/>
+ <element name="sf16Adm" minOccurs="0"/>
+ <element name="beMarginDlPwr" minOccurs="0"/>
+ <element name="beMarginDlCode" minOccurs="0"/>
+ <element name="hoType" minOccurs="0"/>
+ <element name="usedFreqThresh2dEcno" minOccurs="0"/>
+ <element name="usedFreqThresh2dRscp" minOccurs="0"/>
+ <element name="administrativeState" minOccurs="0"/>
+ <element name="loadSharingGsmThreshold" minOccurs="0"/>
+ <element name="loadSharingGsmFraction" minOccurs="0"/>
+ <element name="snDirectedRetryTarget" minOccurs="0"/>
+ <element name="nInSyncInd" minOccurs="0"/>
+ <element name="rlFailureT" minOccurs="0"/>
+ <element name="nOutSyncInd" minOccurs="0"/>
+ <element name="sf4AdmUl" minOccurs="0"/>
+ <element name="hardIfhoCorr" minOccurs="0"/>
+ <element name="hsdpaUsersAdm" minOccurs="0"/>
+ <element name="loadSharingMargin" minOccurs="0"/>
+ <element name="releaseAseDlGhs" minOccurs="0"/>
+ <element name="tmCongActionGhs" minOccurs="0"/>
+ <element name="tmInitialGhs" minOccurs="0"/>
+ <element name="sf4UlPathlossThreshold" minOccurs="0"/>
+ <element name="ulPathlossCheckEnabled" minOccurs="0"/>
+ <element name="sHcsRat" minOccurs="0"/>
+ <element name="sf16gAdm" minOccurs="0"/>
+ <element name="releaseAseDlNg" minOccurs="0"/>
+ <element name="tmCongActionNg" minOccurs="0"/>
+ <element name="tmInitialG" minOccurs="0"/>
+ <element name="sf16AdmUl" minOccurs="0"/>
+ <element name="sf8AdmUl" minOccurs="0"/>
+ <element name="sf8gAdmUl" minOccurs="0"/>
+ <element name="iubLinkRef" minOccurs="0"/>
+ <element name="eulNonServingCellUsersAdm" minOccurs="0"/>
+ <element name="eulServingCellUsersAdm" minOccurs="0"/>
+ <element name="agpsEnabled" minOccurs="0"/>
+ <element name="codeLoadThresholdDlSf128" minOccurs="0"/>
+ <element name="pwrLoadThresholdDlSpeech" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="amr12200" minOccurs="0"/>
+ <element name="amr7950" minOccurs="0"/>
+ <element name="amr5900" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="aseLoadThresholdUlSpeech" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="amr12200" minOccurs="0"/>
+ <element name="amr7950" minOccurs="0"/>
+ <element name="amr5900" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="accessClassesBarredCs" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="accessClassesBarredPs" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="rateSelectionPsInteractive" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="channelType" minOccurs="0"/>
+ <element name="ulPrefRate" minOccurs="0"/>
+ <element name="dlPrefRate" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="hcsUsage" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="idleMode" minOccurs="0"/>
+ <element name="connectedMode" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="hcsSib3Config" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="sSearchHcs" minOccurs="0"/>
+ <element name="hcsPrio" minOccurs="0"/>
+ <element name="qHcs" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUtranRelation" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="qOffset1sn" minOccurs="0"/>
+ <element name="qOffset2sn" minOccurs="0"/>
+ <element name="loadSharingCandidate" minOccurs="0"/>
+ <element name="selectionPriority" minOccurs="0"/>
+ <element name="frequencyRelationType" minOccurs="0"/>
+ <element name="hcsSib11Config" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="hcsPrio" minOccurs="0"/>
+ <element name="qHcs" minOccurs="0"/>
+ <element name="penaltyTime" minOccurs="0"/>
+ <element name="temporaryOffset1" minOccurs="0"/>
+ <element name="temporaryOffset2" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRncFunction" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="mncLength" minOccurs="0"/>
+ <element name="emergencyCallRedirect" minOccurs="0"/>
+ <element name="loadSharingDirRetryEnabled" minOccurs="0"/>
+ <element name="hsCellChangeAllowed" minOccurs="0"/>
+ <element name="hsOnlyBestCell" minOccurs="0"/>
+ <element name="aliasPlmnIdentities" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="counterAlarmCeaseLimit" minOccurs="0"/>
+ <element name="counterAlarmThreshold" minOccurs="0"/>
+ <element name="counterWarningAlarmCeaseLimit" minOccurs="0"/>
+ <element name="ctrFileSize" minOccurs="0"/>
+ <element name="gpehDataLevel" minOccurs="0"/>
+ <element name="gpehFileSize" minOccurs="0"/>
+ <element name="loadSharingRrcEnabled" minOccurs="0"/>
+ <element name="uetrFileSize" minOccurs="0"/>
+ <element name="networkResourceIdentifierLengthCs" minOccurs="0"/>
+ <element name="networkResourceIdentifierLengthPs" minOccurs="0"/>
+ <element name="hsToDchTrigger" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="servHsChangeInterRnc" minOccurs="0"/>
+ <element name="servHsChangeIntraRnc" minOccurs="0"/>
+ <element name="changeOfBestCellIntraRnc" minOccurs="0"/>
+ <element name="poorQualityDetected" minOccurs="0"/>
+ <element name="changeOfBestCellInterRnc" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="harqTransmUlTti10Max" minOccurs="0" maxOccurs="unbounded"/>
+ <element name="ecLocationAttemptUmts" minOccurs="0"/>
+ <element name="ecCnSbhoRequestIgnore" minOccurs="0"/>
+ <element name="ecCnPriorityLevel" minOccurs="0"/>
+ <element name="ecSbhoTimer" minOccurs="0"/>
+ <element name="primaryCnOperatorRef" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeRcEdchFlow" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="harqTransmUlMax" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataSecurityHandling" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="ciphering" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRabHandling" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="psStreamingInactivityTimer" minOccurs="0"/>
+ <element name="activeQueueMgmt" minOccurs="0"/>
+ <element name="dscpValuePsStreaming" minOccurs="0"/>
+ <element name="psStreaming128" minOccurs="0"/>
+ <element name="state128_128Supported" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataSubrack" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataSlot" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataPlugInUnit" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="administrativeState" minOccurs="0"/>
+ <element name="allowedSeqRestarts" minOccurs="0"/>
+ <element name="piuGroupNumber" minOccurs="0"/>
+ <element name="piuType" minOccurs="0"/>
+ <element name="productType" minOccurs="0"/>
+ <element name="userLabel" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <!--element name="vsDataGigaBitEthernet" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element-->
+ <element name="vsDataUePositioning" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="enabledPositioningFeatures" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataGpsReceiver" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="administrativeState" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataAgpsPositioning" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="altitudeDirection" minOccurs="0"/>
+ <element name="altitude" minOccurs="0"/>
+ <element name="uncertaintyAltitude" minOccurs="0"/>
+ <element name="confidence" minOccurs="0"/>
+ <element name="polygonRadiusFactor" minOccurs="0"/>
+ <element name="utranRnsPosition" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="latitudeSign" minOccurs="0"/>
+ <element name="latitude" minOccurs="0"/>
+ <element name="longitude" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="utranRnsUncertaintyRadius" minOccurs="0"/>
+ <element name="utranRnsConfidence" minOccurs="0"/>
+ <element name="elevationThreshold" minOccurs="0"/>
+ <element name="ueMeasurementReportCriteria" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="reportingAmount" minOccurs="0"/>
+ <element name="reportingInterval" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataIubDataStreams" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="maxHsRate" minOccurs="0"/>
+ <element name="maxEDchRate" minOccurs="0"/>
+ <element name="hsDataFrameDelayThreshold" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataTxDeviceGroup" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="loadHs" minOccurs="0"/>
+ <element name="numHsCodeResources" minOccurs="0"/>
+ <element name="numEulResources" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataHsdsch" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="administrativeState" minOccurs="0"/>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="numHsPdschCodes" minOccurs="0"/>
+ <element name="deltaAck1" minOccurs="0"/>
+ <element name="deltaNack1" minOccurs="0"/>
+ <element name="deltaAck2" minOccurs="0"/>
+ <element name="deltaNack2" minOccurs="0"/>
+ <element name="deltaCqi1" minOccurs="0"/>
+ <element name="deltaCqi2" minOccurs="0"/>
+ <element name="initialCqiRepetitionFactor" minOccurs="0"/>
+ <element name="initialAckNackRepetitionFactor" minOccurs="0"/>
+ <element name="cqiFeedbackCycle" minOccurs="0"/>
+ <element name="hsMeasurementPowerOffset" minOccurs="0"/>
+ <element name="codeThresholdPdu656" minOccurs="0"/>
+ <element name="numHsScchCodes" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataCoverageRelation" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="utranCellRef" minOccurs="0"/>
+ <element name="coverageIndicator" minOccurs="0"/>
+ <element name="hsPathlossThreshold" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeRcRab" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="fcState" minOccurs="0"/>
+ <element name="trBearerQosClass" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeRcRrc" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="trBearerQosClass" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeRabType" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="trBearerQosClass" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUra" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="uraIdentity" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataLicensing" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRncFeature" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="featureState" minOccurs="0"/>
+ <element name="licenseState" minOccurs="0"/>
+ <element name="serviceState" minOccurs="0"/>
+ <element name="keyId" minOccurs="0"/>
+ <element name="isLicenseControlled" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataEul" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="administrativeState" minOccurs="0"/>
+ <element name="numEagchCodes" minOccurs="0"/>
+ <element name="numEhichErgchCodes" minOccurs="0"/>
+ <element name="eulMaxTargetRtwp" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataSystemFunctions" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData"/>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataIubEdch" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="edchDataFrameDelayThreshold" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataWcdmaCarrier" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="defaultHoType" minOccurs="0"/>
+ <element name="freqBand" minOccurs="0"/>
+ <element name="sib5bisEnabled" minOccurs="0"/>
+ <element name="uarfcnDl" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUtranNetwork" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="aliasPlmnIdentities" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="plmnIdentity" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataCnOperator" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="plmnIdentity" minOccurs="0">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ <element name="iphoNetworkRefsUtran" minOccurs="0"/>
+ <element name="iphoNetworkRefsGsm" minOccurs="0"/>
+ <element name="equivalentPlmnIdentities" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="mncLength" minOccurs="0"/>
+ </sequence>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataRbsSynchronization" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="plugInUnitRef1" minOccurs="0"/>
+ <element name="plugInUnitRef2" minOccurs="0"/>
+ <element name="timDeviceRef1" minOccurs="0"/>
+ <element name="timDeviceRef2" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataUeRcPhyChEdch" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="eulHarqRv" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="vsDataPmService" substitutionGroup="xn:vsData">
+ <complexType>
+ <complexContent>
+ <extension base="xn:vsData">
+ <sequence>
+ <element name="minorAlarmCeasingDelta" minOccurs="0"/>
+ <element name="warningAlarmLimitPercent" minOccurs="0"/>
+ <element name="warningAlarmCeasingDelta" minOccurs="0"/>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+</schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1Tran.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1Tran.xsd
new file mode 100644
index 0000000000..33e00bab48
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/EricssonSpecificAttributes.5.1Tran.xsd
@@ -0,0 +1,2164 @@
+<!--
+ Configuration data file vendor-specific XML schema
+ EricssonSpecificAttributes.5.1Tran.xsd Implementation of BCT I/face.Requires BCR/Bulk CM General installed
+ For use with ONLY with EricssonSpecificAttributes.5.1.xsd
+ NB!! See EricssonSpecificAttributes.5.1.xsd
+ Use Namespace for EricssonSpecificAttributes.5.1.xsd in all files which conform to this schema
+-->
+<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:xn="genericNrm.xsd" elementFormDefault="qualified" attributeFormDefault="unqualified">
+ <!-- EricssonSpecificAttributes version 5.1 vendor-specific data -->
+ <xs:import namespace="genericNrm.xsd" schemaLocation="genericNrm.xsd"/>
+ <xs:element name="vsDataAal0TpVccTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="alarmReport" minOccurs="0"/>
+ <xs:element name="continuityCheck" minOccurs="0"/>
+ <xs:element name="nomPmBlkSize" minOccurs="0"/>
+ <xs:element name="processorId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="vclTpId" minOccurs="0"/>
+ <xs:element name="counterMode" minOccurs="0"/>
+ <xs:element name="counterActivation" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal1TpVccTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="nomPmBlockSize" minOccurs="0"/>
+ <xs:element name="ds0BundleId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="vclTpId" minOccurs="0"/>
+ <xs:element name="counterMode" minOccurs="0"/>
+ <xs:element name="partialFill" minOccurs="0"/>
+ <xs:element name="alarmReport" minOccurs="0"/>
+ <xs:element name="cdvt" minOccurs="0"/>
+ <xs:element name="continuityCheck" minOccurs="0"/>
+ <xs:element name="counterActivation" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal2Ap" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="aal2QoSCodePointProfileId" minOccurs="0"/>
+ <xs:element name="rpuId" minOccurs="0"/>
+ <xs:element name="secondarySigLinkId" minOccurs="0"/>
+ <xs:element name="sigLinkId" minOccurs="0"/>
+ <xs:element name="timerErq" minOccurs="0"/>
+ <xs:element name="timerRel" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="allocationMode" minOccurs="0"/>
+ <xs:element name="reallocate" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAtmConfService" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="reallocateAllAal2Aps" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal2PathDistributionUnit" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="rpuId" minOccurs="0"/>
+ <xs:element name="aal2PathVccTpList" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="addPath" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="aal2PathVccTpId" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="removePath" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="aal2PathList" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal2PathVccTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="aal2PathOwner" minOccurs="0"/>
+ <xs:element name="alarmReport" minOccurs="0"/>
+ <xs:element name="nomPmBlocksize" minOccurs="0"/>
+ <xs:element name="timerCu" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="continuityCheck" minOccurs="0"/>
+ <xs:element name="aal2PathId" minOccurs="0"/>
+ <xs:element name="vclTpId" minOccurs="0"/>
+ <xs:element name="aal2QoSAvailableProfiles" minOccurs="0"/>
+ <xs:element name="aal2QoSProfileId" minOccurs="0"/>
+ <xs:element name="counterMode" minOccurs="0"/>
+ <xs:element name="counterActivation" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal2RoutingCase" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="numberDirection" minOccurs="0"/>
+ <xs:element name="routeList" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="routePriorityList" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="addAal2ApToRc" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="priority" minOccurs="0"/>
+ <xs:element name="route" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="removeAal2ApFromRc" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="route" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal2Sp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="a2ea" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal5TpVccTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="alarmReport" minOccurs="0"/>
+ <xs:element name="continuityCheck" minOccurs="0"/>
+ <xs:element name="fromUserMaxSduSize" minOccurs="0"/>
+ <xs:element name="nomPmBlkSize" minOccurs="0"/>
+ <xs:element name="processorId" minOccurs="0"/>
+ <xs:element name="toUserMaxSduSize" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="vclTpId" minOccurs="0"/>
+ <xs:element name="counterMode" minOccurs="0"/>
+ <xs:element name="counterActivation" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAtmCrossConnection" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="vclTpAId" minOccurs="0"/>
+ <xs:element name="vclTpBId" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAtmTrafficDescriptor" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="egressAtmMcr" minOccurs="0"/>
+ <xs:element name="egressAtmPcr" minOccurs="0"/>
+ <xs:element name="egressAtmQos" minOccurs="0"/>
+ <xs:element name="ingressAtmMcr" minOccurs="0"/>
+ <xs:element name="ingressAtmPcr" minOccurs="0"/>
+ <xs:element name="ingressAtmQos" minOccurs="0"/>
+ <xs:element name="serviceCategory" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="packetDiscard" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAtmPort" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="uses" minOccurs="0"/>
+ <xs:element name="hecCorrectionMode" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataTransportNetwork" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataUniSaalProfile" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="profileData" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="congestionAbatement" minOccurs="0"/>
+ <xs:element name="congestionOnSet" minOccurs="0"/>
+ <xs:element name="initialCredit" minOccurs="0"/>
+ <xs:element name="maxCC" minOccurs="0"/>
+ <xs:element name="maxPD" minOccurs="0"/>
+ <xs:element name="maxStat" minOccurs="0"/>
+ <xs:element name="timerCC" minOccurs="0"/>
+ <xs:element name="timerIdle" minOccurs="0"/>
+ <xs:element name="timerKeepAlive" minOccurs="0"/>
+ <xs:element name="timerNoResponse" minOccurs="0"/>
+ <xs:element name="timerPoll" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataUniSaalTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="aal5TpVccTpId" minOccurs="0"/>
+ <xs:element name="maxSduSize" minOccurs="0"/>
+ <xs:element name="uniSaalProfileId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataVclTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="atmTrafficDescriptorId" minOccurs="0"/>
+ <xs:element name="externalVci" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataVpcTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="alarmReport" minOccurs="0"/>
+ <xs:element name="continuityCheck" minOccurs="0"/>
+ <xs:element name="nomPmBlkSize" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="counterMode" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataVplTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="atmTrafficDescriptor" minOccurs="0"/>
+ <xs:element name="externalVpi" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIub" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="rbsId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataNbapCommon" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="l2EstablishReqRetryT" minOccurs="0"/>
+ <xs:element name="activeUniSaalTpRef" minOccurs="0"/>
+ <xs:element name="standbyUniSaalTpRef" minOccurs="0"/>
+ <xs:element name="auditRetransmissionT" minOccurs="0"/>
+ <xs:element name="l2EstablishSupervisionT" minOccurs="0"/>
+ <xs:element name="l3EstablishSupervisionT" minOccurs="0"/>
+ <xs:element name="uniSaalTpRef1" minOccurs="0"/>
+ <xs:element name="uniSaalTpRef2" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataNbapDedicated" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="l2EstablishReqRetryT" minOccurs="0"/>
+ <xs:element name="activeUniSaalTpRef" minOccurs="0"/>
+ <xs:element name="standbyUniSaalTpRef" minOccurs="0"/>
+ <xs:element name="l2EstablishSupervisionT" minOccurs="0"/>
+ <xs:element name="uniSaalTpRef1" minOccurs="0"/>
+ <xs:element name="uniSaalTpRef2" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataNodeSynch" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="noOfSamples" minOccurs="0"/>
+ <xs:element name="supervisionIntervalT" minOccurs="0"/>
+ <xs:element name="phaseDiffThreshold" minOccurs="0"/>
+ <xs:element name="phaseMeasurement" minOccurs="0"/>
+ <xs:element name="accuracy" minOccurs="0"/>
+ <xs:element name="timeStamp" minOccurs="0"/>
+ <xs:element name="sampleIntervalInt" minOccurs="0"/>
+ <xs:element name="fixedWindowSizeInt" minOccurs="0"/>
+ <xs:element name="sampleIntervalSup" minOccurs="0"/>
+ <xs:element name="fixedWindowSizeSup" minOccurs="0"/>
+ <xs:element name="slidingWindowSize" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataNodeSynchTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="nodeSynchRef" minOccurs="0"/>
+ <xs:element name="aal0TpRef1" minOccurs="0"/>
+ <xs:element name="aal0TpRef2" minOccurs="0"/>
+ <xs:element name="aal0TpRef3" minOccurs="0"/>
+ <xs:element name="aal0TpRef4" minOccurs="0"/>
+ <xs:element name="timDeviceRef" minOccurs="0"/>
+ <xs:element name="aal0TpRefs" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal2QosCodePointProfile" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="qualityOfServiceCodePointA" minOccurs="0"/>
+ <xs:element name="qualityOfServiceCodePointB" minOccurs="0"/>
+ <xs:element name="qualityOfServiceCodePointC" minOccurs="0"/>
+ <xs:element name="qualityOfServiceCodePointD" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataAal2QosProfile" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="profileClassA" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="boundOnProbOfDelay" minOccurs="0"/>
+ <xs:element name="boundOnProbOfLoss" minOccurs="0"/>
+ <xs:element name="boundOnNodeDelay" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="profileClassB" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="boundOnProbOfDelay" minOccurs="0"/>
+ <xs:element name="boundOnProbOfLoss" minOccurs="0"/>
+ <xs:element name="boundOnNodeDelay" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="profileClassC" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="boundOnProbOfDelay" minOccurs="0"/>
+ <xs:element name="boundOnProbOfLoss" minOccurs="0"/>
+ <xs:element name="boundOnNodeDelay" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="profileClassD" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="boundOnProbOfDelay" minOccurs="0"/>
+ <xs:element name="boundOnProbOfLoss" minOccurs="0"/>
+ <xs:element name="boundOnNodeDelay" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bAp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="routeSetId" minOccurs="0"/>
+ <xs:element name="serviceInd" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSlItu" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="autoStartLink" minOccurs="0"/>
+ <xs:element name="linkCongestLevel" minOccurs="0"/>
+ <xs:element name="linkState" minOccurs="0"/>
+ <xs:element name="prioBeforeSio" minOccurs="0"/>
+ <xs:element name="signLinkCode" minOccurs="0"/>
+ <xs:element name="tpId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="deactivate" minOccurs="0"/>
+ <xs:element name="activate" minOccurs="0"/>
+ <xs:element name="emergencyActivate" minOccurs="0"/>
+ <xs:element name="localInhibit" minOccurs="0"/>
+ <xs:element name="localUninhibit" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSls" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="cLinkAnsi" minOccurs="0"/>
+ <xs:element name="mtp3bSrsId" minOccurs="0"/>
+ <xs:element name="periodicLinkTestFlag" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="deactivateLinkSet" minOccurs="0"/>
+ <xs:element name="activateLinkSet" minOccurs="0"/>
+ <xs:element name="emergencyActivateLinkSet" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSlTtc" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="autoStartLink" minOccurs="0"/>
+ <xs:element name="linkCongestLevel" minOccurs="0"/>
+ <xs:element name="linkState" minOccurs="0"/>
+ <xs:element name="signLinkCode" minOccurs="0"/>
+ <xs:element name="tpId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="prioBeforeSio" minOccurs="0"/>
+ <xs:element name="deactivate" minOccurs="0"/>
+ <xs:element name="activate" minOccurs="0"/>
+ <xs:element name="emergencyActivate" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSpItu" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="m3uaNoOfAttempsOfDauds" minOccurs="0"/>
+ <xs:element name="sendSltmBefLink" minOccurs="0"/>
+ <xs:element name="signallingPointCode" minOccurs="0"/>
+ <xs:element name="maxSizeChangeBuf" minOccurs="0"/>
+ <xs:element name="maxSizeReroutBuf" minOccurs="0"/>
+ <xs:element name="sioSpare" minOccurs="0"/>
+ <xs:element name="spPriority" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="prioCb" minOccurs="0"/>
+ <xs:element name="prioCo" minOccurs="0"/>
+ <xs:element name="prioEc" minOccurs="0"/>
+ <xs:element name="prioLink" minOccurs="0"/>
+ <xs:element name="prioRst" minOccurs="0"/>
+ <xs:element name="prioSlt" minOccurs="0"/>
+ <xs:element name="prioTfc" minOccurs="0"/>
+ <xs:element name="prioTra" minOccurs="0"/>
+ <xs:element name="prioUpu" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="networkInd" minOccurs="0"/>
+ <xs:element name="transFrHandler" minOccurs="0"/>
+ <xs:element name="nodeBehaviour" minOccurs="0"/>
+ <xs:element name="spTimer" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="timerT1" minOccurs="0"/>
+ <xs:element name="timerT2" minOccurs="0"/>
+ <xs:element name="timerT3" minOccurs="0"/>
+ <xs:element name="timerTBsnt" minOccurs="0"/>
+ <xs:element name="timerT4" minOccurs="0"/>
+ <xs:element name="timerT5" minOccurs="0"/>
+ <xs:element name="timerTRetrieval" minOccurs="0"/>
+ <xs:element name="timerT6" minOccurs="0"/>
+ <xs:element name="timerT8" minOccurs="0"/>
+ <xs:element name="timerT10" minOccurs="0"/>
+ <xs:element name="timerT12" minOccurs="0"/>
+ <xs:element name="timerT13" minOccurs="0"/>
+ <xs:element name="timerT14" minOccurs="0"/>
+ <xs:element name="timerTStart" minOccurs="0"/>
+ <xs:element name="timerT17" minOccurs="0"/>
+ <xs:element name="timerT18" minOccurs="0"/>
+ <xs:element name="timerT19" minOccurs="0"/>
+ <xs:element name="timerSltm" minOccurs="0"/>
+ <xs:element name="timerSlta" minOccurs="0"/>
+ <xs:element name="timerT20" minOccurs="0"/>
+ <xs:element name="timerT21" minOccurs="0"/>
+ <xs:element name="timerT22" minOccurs="0"/>
+ <xs:element name="timerT23" minOccurs="0"/>
+ <xs:element name="timerTc" minOccurs="0"/>
+ <xs:element name="timerM3uaTack" minOccurs="0"/>
+ <xs:element name="timerM3uaPeriodicAudit" minOccurs="0"/>
+ <xs:element name="timerM3uaT1" minOccurs="0"/>
+ <xs:element name="timerM3uaT3" minOccurs="0"/>
+ <xs:element name="timerM3uaT42" minOccurs="0"/>
+ <xs:element name="timerT15" minOccurs="0"/>
+ <xs:element name="timerM3uaT6" minOccurs="0"/>
+ <xs:element name="timerM3uaT41" minOccurs="0"/>
+ <xs:element name="timerM3uaTc" minOccurs="0"/>
+ <xs:element name="timerM3uaT8" minOccurs="0"/>
+ <xs:element name="timerM3uaT40" minOccurs="0"/>
+ <xs:element name="timerT16" minOccurs="0"/>
+ <xs:element name="timerTDlack" minOccurs="0"/>
+ <xs:element name="timerM3uaTassocack" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="noOfAttempsOfAssociationEstablishment" minOccurs="0"/>
+ <xs:element name="resendTfcInterval" minOccurs="0"/>
+ <xs:element name="statusIndInterval" minOccurs="0"/>
+ <xs:element name="testPatternSltm" minOccurs="0"/>
+ <xs:element name="version" minOccurs="0"/>
+ <xs:element name="noOfCongestLevelForNI" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="routeSetCongestTestFlag" minOccurs="0"/>
+ <xs:element name="rpuId" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSpTtc" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="maxSizeChangeBuf" minOccurs="0"/>
+ <xs:element name="maxSizeReroutBuf" minOccurs="0"/>
+ <xs:element name="signallingPointCode" minOccurs="0"/>
+ <xs:element name="spPriority" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="prioCo" minOccurs="0"/>
+ <xs:element name="prioCb" minOccurs="0"/>
+ <xs:element name="prioEc" minOccurs="0"/>
+ <xs:element name="prioRst" minOccurs="0"/>
+ <xs:element name="prioTfa" minOccurs="0"/>
+ <xs:element name="prioRt" minOccurs="0"/>
+ <xs:element name="prioTfc" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="networkInd" minOccurs="0"/>
+ <xs:element name="spTimer" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="timerT1" minOccurs="0"/>
+ <xs:element name="timerT2" minOccurs="0"/>
+ <xs:element name="timerT3" minOccurs="0"/>
+ <xs:element name="timerT4" minOccurs="0"/>
+ <xs:element name="timerT6" minOccurs="0"/>
+ <xs:element name="timerT8" minOccurs="0"/>
+ <xs:element name="timerT10" minOccurs="0"/>
+ <xs:element name="timerT17" minOccurs="0"/>
+ <xs:element name="timerSrt" minOccurs="0"/>
+ <xs:element name="timerTBsnt" minOccurs="0"/>
+ <xs:element name="timerTRetrieval" minOccurs="0"/>
+ <xs:element name="timerTStart" minOccurs="0"/>
+ <xs:element name="timerTc" minOccurs="0"/>
+ <xs:element name="timerT15" minOccurs="0"/>
+ <xs:element name="timerT16" minOccurs="0"/>
+ <xs:element name="timerTDlack" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="statusIndInterval" minOccurs="0"/>
+ <xs:element name="nodeBehaviour" minOccurs="0"/>
+ <xs:element name="noOfCongestLevelForNI" minOccurs="0"/>
+ <xs:element name="testPatternSltm" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="resendTfcInterval" minOccurs="0"/>
+ <xs:element name="routeSetCongestTestFlag" minOccurs="0"/>
+ <xs:element name="rpuId" minOccurs="0"/>
+ <xs:element name="m3uaNoOfAttempsOfDauds" minOccurs="0"/>
+ <xs:element name="noOfAttempsOfAssociationEstablishment" minOccurs="0"/>
+ <xs:element name="spTimerM3ua" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="timerM3uaPeriodicAudit" minOccurs="0"/>
+ <xs:element name="timerM3uaT1" minOccurs="0"/>
+ <xs:element name="timerM3uaT3" minOccurs="0"/>
+ <xs:element name="timerM3uaT40" minOccurs="0"/>
+ <xs:element name="timerM3uaT41" minOccurs="0"/>
+ <xs:element name="timerM3uaT42" minOccurs="0"/>
+ <xs:element name="timerM3uaT6" minOccurs="0"/>
+ <xs:element name="timerM3uaT8" minOccurs="0"/>
+ <xs:element name="timerM3uaTack" minOccurs="0"/>
+ <xs:element name="timerM3uaTassocack" minOccurs="0"/>
+ <xs:element name="timerM3uaTc" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSr" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="linkSetM3uId" minOccurs="0"/>
+ <xs:element name="priority" minOccurs="0"/>
+ <xs:element name="routeType" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="linkSetId" minOccurs="0"/>
+ <xs:element name="blockSignalingRoute" minOccurs="0"/>
+ <xs:element name="deBlockSignalingRoute" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSrs" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="autoReroute" minOccurs="0"/>
+ <xs:element name="destPointCode" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataNniSaalProfile" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="profileData" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="congestionLevel1Abatement" minOccurs="0"/>
+ <xs:element name="maxStat" minOccurs="0"/>
+ <xs:element name="timerPoll" minOccurs="0"/>
+ <xs:element name="timerRepeatSrec" minOccurs="0"/>
+ <xs:element name="mps" minOccurs="0"/>
+ <xs:element name="congestionLevel1OnSet" minOccurs="0"/>
+ <xs:element name="congestionLevel2OnSet" minOccurs="0"/>
+ <xs:element name="nrOfPDUsDuringProving" minOccurs="0"/>
+ <xs:element name="timerT1" minOccurs="0"/>
+ <xs:element name="timerT2" minOccurs="0"/>
+ <xs:element name="timerT3" minOccurs="0"/>
+ <xs:element name="timerCC" minOccurs="0"/>
+ <xs:element name="congestionLevel3OnSet" minOccurs="0"/>
+ <xs:element name="initialCredit" minOccurs="0"/>
+ <xs:element name="timerIdle" minOccurs="0"/>
+ <xs:element name="timerKeepAlive" minOccurs="0"/>
+ <xs:element name="maxCC" minOccurs="0"/>
+ <xs:element name="maxNRP" minOccurs="0"/>
+ <xs:element name="timerNoCredit" minOccurs="0"/>
+ <xs:element name="maxPD" minOccurs="0"/>
+ <xs:element name="timerNoResponse" minOccurs="0"/>
+ <xs:element name="congestionLevel2Abatement" minOccurs="0"/>
+ <xs:element name="congestionLevel3Abatement" minOccurs="0"/>
+ <xs:element name="discardMessagesLevel1" minOccurs="0"/>
+ <xs:element name="discardMessagesLevel2" minOccurs="0"/>
+ <xs:element name="discardMessagesLevel3" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataNniSaalTp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="aal5TpVccTpId" minOccurs="0"/>
+ <xs:element name="maxSduSize" minOccurs="0"/>
+ <xs:element name="nniSaalProfileId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpApLocal" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="maxConn" minOccurs="0"/>
+ <xs:element name="ssN" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="useS1" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpApRemote" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="mtp3bApId" minOccurs="0"/>
+ <xs:element name="ssN" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpEntitySet" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="routeIds" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="newSubSystemNumber" minOccurs="0"/>
+ <xs:element name="sharingMode" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="newGlobalTitle" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="indicator" minOccurs="0"/>
+ <xs:element name="natureOfAddress" minOccurs="0"/>
+ <xs:element name="numberingPlan" minOccurs="0"/>
+ <xs:element name="translationType" minOccurs="0"/>
+ <xs:element name="addressInformation" minOccurs="0"/>
+ <xs:element name="encodingScheme" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpGlobalTitle" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="addressInformation" minOccurs="0"/>
+ <xs:element name="encodingScheme" minOccurs="0"/>
+ <xs:element name="gtIndicator" minOccurs="0"/>
+ <xs:element name="natureOfAddress" minOccurs="0"/>
+ <xs:element name="numberingPlan" minOccurs="0"/>
+ <xs:element name="sccpEntitySetId" minOccurs="0"/>
+ <xs:element name="translationType" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpScrc" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpSp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="accountDateFileOutput" minOccurs="0"/>
+ <xs:element name="accountPeriodFileOutput" minOccurs="0"/>
+ <xs:element name="accountTimeFileOutput" minOccurs="0"/>
+ <xs:element name="hopCounterSclc" minOccurs="0"/>
+ <xs:element name="hopCounterScoc" minOccurs="0"/>
+ <xs:element name="lowerConnThres" minOccurs="0"/>
+ <xs:element name="maxRelayedConn" minOccurs="0"/>
+ <xs:element name="mtp3bSpId" minOccurs="0"/>
+ <xs:element name="prioGeneral" minOccurs="0"/>
+ <xs:element name="prioIT" minOccurs="0"/>
+ <xs:element name="prioRLSD" minOccurs="0"/>
+ <xs:element name="prioSST" minOccurs="0"/>
+ <xs:element name="smiValue" minOccurs="0"/>
+ <xs:element name="swapUDTPointer" minOccurs="0"/>
+ <xs:element name="tCong" minOccurs="0"/>
+ <xs:element name="tGuard" minOccurs="0"/>
+ <xs:element name="tIar" minOccurs="0"/>
+ <xs:element name="tIas" minOccurs="0"/>
+ <xs:element name="tReass" minOccurs="0"/>
+ <xs:element name="tRel" minOccurs="0"/>
+ <xs:element name="tStatInfo" minOccurs="0"/>
+ <xs:element name="tconnEst" minOccurs="0"/>
+ <xs:element name="tconnResp" minOccurs="0"/>
+ <xs:element name="upperConnThres" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="useSCMG" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="sendSST" minOccurs="0"/>
+ <xs:element name="sendSSA" minOccurs="0"/>
+ <xs:element name="sendSSP" minOccurs="0"/>
+ <xs:element name="useSST" minOccurs="0"/>
+ <xs:element name="allowRemoteBroadcast" minOccurs="0"/>
+ <xs:element name="initiateTimerTcon" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataRnsap" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="preferredAddressing" minOccurs="0"/>
+ <xs:element name="sccpDisabledT" minOccurs="0"/>
+ <xs:element name="userOutOfServiceT" minOccurs="0"/>
+ <xs:element name="localSccpApRef" minOccurs="0"/>
+ <xs:element name="sccpGlobalTitleRef" minOccurs="0"/>
+ <xs:element name="remoteSccpApRef" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSlChina" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="autoStartLink" minOccurs="0"/>
+ <xs:element name="linkCongestLevel" minOccurs="0"/>
+ <xs:element name="linkState" minOccurs="0"/>
+ <xs:element name="prioBeforeSio" minOccurs="0"/>
+ <xs:element name="signLinkCode" minOccurs="0"/>
+ <xs:element name="tpId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="deactivate" minOccurs="0"/>
+ <xs:element name="activate" minOccurs="0"/>
+ <xs:element name="emergencyActivate" minOccurs="0"/>
+ <xs:element name="localInhibit" minOccurs="0"/>
+ <xs:element name="localUninhibit" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSpChina" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="m3uaNoOfAttempsOfDauds" minOccurs="0"/>
+ <xs:element name="routeSetCongestTestFlag" minOccurs="0"/>
+ <xs:element name="maxSizeChangeBuf" minOccurs="0"/>
+ <xs:element name="rpuId" minOccurs="0"/>
+ <xs:element name="sendSltmBefLink" minOccurs="0"/>
+ <xs:element name="maxSizeReroutBuf" minOccurs="0"/>
+ <xs:element name="networkInd" minOccurs="0"/>
+ <xs:element name="signallingPointCode" minOccurs="0"/>
+ <xs:element name="sioSpare" minOccurs="0"/>
+ <xs:element name="nodeBehaviour" minOccurs="0"/>
+ <xs:element name="noOfAttempsOfAssociationEstablishment" minOccurs="0"/>
+ <xs:element name="spPriority" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="prioCb" minOccurs="0"/>
+ <xs:element name="prioCo" minOccurs="0"/>
+ <xs:element name="prioEc" minOccurs="0"/>
+ <xs:element name="prioLink" minOccurs="0"/>
+ <xs:element name="prioRst" minOccurs="0"/>
+ <xs:element name="prioSlt" minOccurs="0"/>
+ <xs:element name="prioTfc" minOccurs="0"/>
+ <xs:element name="prioTra" minOccurs="0"/>
+ <xs:element name="prioUpu" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="statusIndInterval" minOccurs="0"/>
+ <xs:element name="noOfCongestLevelForNI" minOccurs="0"/>
+ <xs:element name="resendTfcInterval" minOccurs="0"/>
+ <xs:element name="testPatternSltm" minOccurs="0"/>
+ <xs:element name="transFrHandler" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="version" minOccurs="0"/>
+ <xs:element name="spTimer" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="timerM3uaPeriodicAudit" minOccurs="0"/>
+ <xs:element name="timerM3uaT1" minOccurs="0"/>
+ <xs:element name="timerM3uaT3" minOccurs="0"/>
+ <xs:element name="timerM3uaT40" minOccurs="0"/>
+ <xs:element name="timerM3uaT41" minOccurs="0"/>
+ <xs:element name="timerM3uaT42" minOccurs="0"/>
+ <xs:element name="timerM3uaT6" minOccurs="0"/>
+ <xs:element name="timerM3uaT8" minOccurs="0"/>
+ <xs:element name="timerM3uaTack" minOccurs="0"/>
+ <xs:element name="timerM3uaTassocack" minOccurs="0"/>
+ <xs:element name="timerM3uaTc" minOccurs="0"/>
+ <xs:element name="timerSlta" minOccurs="0"/>
+ <xs:element name="timerSltm" minOccurs="0"/>
+ <xs:element name="timerT1" minOccurs="0"/>
+ <xs:element name="timerT10" minOccurs="0"/>
+ <xs:element name="timerT12" minOccurs="0"/>
+ <xs:element name="timerT13" minOccurs="0"/>
+ <xs:element name="timerT14" minOccurs="0"/>
+ <xs:element name="timerT15" minOccurs="0"/>
+ <xs:element name="timerT16" minOccurs="0"/>
+ <xs:element name="timerT17" minOccurs="0"/>
+ <xs:element name="timerT18" minOccurs="0"/>
+ <xs:element name="timerT19" minOccurs="0"/>
+ <xs:element name="timerT2" minOccurs="0"/>
+ <xs:element name="timerT20" minOccurs="0"/>
+ <xs:element name="timerT21" minOccurs="0"/>
+ <xs:element name="timerT22" minOccurs="0"/>
+ <xs:element name="timerT23" minOccurs="0"/>
+ <xs:element name="timerT3" minOccurs="0"/>
+ <xs:element name="timerT4" minOccurs="0"/>
+ <xs:element name="timerT5" minOccurs="0"/>
+ <xs:element name="timerT6" minOccurs="0"/>
+ <xs:element name="timerT8" minOccurs="0"/>
+ <xs:element name="timerTBsnt" minOccurs="0"/>
+ <xs:element name="timerTDlack" minOccurs="0"/>
+ <xs:element name="timerTRetrieval" minOccurs="0"/>
+ <xs:element name="timerTStart" minOccurs="0"/>
+ <xs:element name="timerTc" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataRanap" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="preferredAddressing" minOccurs="0"/>
+ <xs:element name="sccpDisabledT" minOccurs="0"/>
+ <xs:element name="cnDomainInd" minOccurs="0"/>
+ <xs:element name="noOfResetSendings" minOccurs="0"/>
+ <xs:element name="userOutOfServiceT" minOccurs="0"/>
+ <xs:element name="localSccpApRef" minOccurs="0"/>
+ <xs:element name="sccpGlobalTitleRef" minOccurs="0"/>
+ <xs:element name="remoteSccpApRef" minOccurs="0"/>
+ <xs:element name="resetResendT" minOccurs="0"/>
+ <xs:element name="resetAckGuardT" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="cnId" minOccurs="0"/>
+ <xs:element name="cnPlmnIdentity" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="mcc" minOccurs="0"/>
+ <xs:element name="mnc" minOccurs="0"/>
+ <xs:element name="mncLength" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="networkResourceIdentifier" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="relativeCapacity" minOccurs="0"/>
+ <xs:element name="packetDataRouterRef" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="cnOperatorRef" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSlAnsi" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="autoStartLink" minOccurs="0"/>
+ <xs:element name="linkCongestLevel" minOccurs="0"/>
+ <xs:element name="linkState" minOccurs="0"/>
+ <xs:element name="signLinkCode" minOccurs="0"/>
+ <xs:element name="tpId" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="deactivate" minOccurs="0"/>
+ <xs:element name="activate" minOccurs="0"/>
+ <xs:element name="emergencyActivate" minOccurs="0"/>
+ <xs:element name="localInhibit" minOccurs="0"/>
+ <xs:element name="localUninhibit" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMtp3bSpAnsi" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="m3uaNoOfAttempsOfDauds" minOccurs="0"/>
+ <xs:element name="maxSizeChangeBuf" minOccurs="0"/>
+ <xs:element name="maxSizeReroutBuf" minOccurs="0"/>
+ <xs:element name="networkInd" minOccurs="0"/>
+ <xs:element name="noOfAttempsOfAssociationEstablishment" minOccurs="0"/>
+ <xs:element name="noOfCongestLevelForNI" minOccurs="0"/>
+ <xs:element name="nodeBehaviour" minOccurs="0"/>
+ <xs:element name="resendTfcInterval" minOccurs="0"/>
+ <xs:element name="routeSetCongestTestFlag" minOccurs="0"/>
+ <xs:element name="rpuId" minOccurs="0"/>
+ <xs:element name="sendSltmBefLink" minOccurs="0"/>
+ <xs:element name="signallingPointCode" minOccurs="0"/>
+ <xs:element name="statusIndInterval" minOccurs="0"/>
+ <xs:element name="sioSpare" minOccurs="0"/>
+ <xs:element name="testPatternSltm" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="spPriority" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="prioCb" minOccurs="0"/>
+ <xs:element name="prioCo" minOccurs="0"/>
+ <xs:element name="prioEc" minOccurs="0"/>
+ <xs:element name="prioLink" minOccurs="0"/>
+ <xs:element name="prioRst" minOccurs="0"/>
+ <xs:element name="prioSlt" minOccurs="0"/>
+ <xs:element name="prioTfc" minOccurs="0"/>
+ <xs:element name="prioTra" minOccurs="0"/>
+ <xs:element name="prioUpu" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="spTimer" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="timerM3uaPeriodicAudit" minOccurs="0"/>
+ <xs:element name="timerM3uaT1" minOccurs="0"/>
+ <xs:element name="timerM3uaT3" minOccurs="0"/>
+ <xs:element name="timerM3uaT40" minOccurs="0"/>
+ <xs:element name="timerM3uaT41" minOccurs="0"/>
+ <xs:element name="timerM3uaT42" minOccurs="0"/>
+ <xs:element name="timerM3uaT6" minOccurs="0"/>
+ <xs:element name="timerM3uaT8" minOccurs="0"/>
+ <xs:element name="timerM3uaTack" minOccurs="0"/>
+ <xs:element name="timerM3uaTassocack" minOccurs="0"/>
+ <xs:element name="timerM3uaTc" minOccurs="0"/>
+ <xs:element name="timerSlta" minOccurs="0"/>
+ <xs:element name="timerSltm" minOccurs="0"/>
+ <xs:element name="timerT1" minOccurs="0"/>
+ <xs:element name="timerT10" minOccurs="0"/>
+ <xs:element name="timerT12" minOccurs="0"/>
+ <xs:element name="timerT13" minOccurs="0"/>
+ <xs:element name="timerT14" minOccurs="0"/>
+ <xs:element name="timerT15" minOccurs="0"/>
+ <xs:element name="timerT16" minOccurs="0"/>
+ <xs:element name="timerT17" minOccurs="0"/>
+ <xs:element name="timerT2" minOccurs="0"/>
+ <xs:element name="timerT20" minOccurs="0"/>
+ <xs:element name="timerT21" minOccurs="0"/>
+ <xs:element name="timerT22" minOccurs="0"/>
+ <xs:element name="timerT23" minOccurs="0"/>
+ <xs:element name="timerT25" minOccurs="0"/>
+ <xs:element name="timerT26" minOccurs="0"/>
+ <xs:element name="timerT27" minOccurs="0"/>
+ <xs:element name="timerT28" minOccurs="0"/>
+ <xs:element name="timerT29" minOccurs="0"/>
+ <xs:element name="timerT3" minOccurs="0"/>
+ <xs:element name="timerT30" minOccurs="0"/>
+ <xs:element name="timerT31" minOccurs="0"/>
+ <xs:element name="timerT4" minOccurs="0"/>
+ <xs:element name="timerT5" minOccurs="0"/>
+ <xs:element name="timerT6" minOccurs="0"/>
+ <xs:element name="timerT8" minOccurs="0"/>
+ <xs:element name="timerTBsnt" minOccurs="0"/>
+ <xs:element name="timerTDlack" minOccurs="0"/>
+ <xs:element name="timerTRetrieval" minOccurs="0"/>
+ <xs:element name="timerTStart" minOccurs="0"/>
+ <xs:element name="timerTc" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="transFrHandler" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataPacketDataRouter" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="rncIpAddress" minOccurs="0"/>
+ <xs:element name="cnIuLinkIpAddress" minOccurs="0"/>
+ <xs:element name="timeToLive" minOccurs="0"/>
+ <xs:element name="pdrDeviceRef" minOccurs="0"/>
+ <xs:element name="aal5TpRef" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpAtmLink" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="interfaceName" minOccurs="0"/>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="metric" minOccurs="0"/>
+ <xs:element name="monitor" minOccurs="0"/>
+ <xs:element name="monitorInterval" minOccurs="0"/>
+ <xs:element name="monitorRetries" minOccurs="0"/>
+ <xs:element name="mtuSize" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="Aal5TpVccTpIdList" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="aal5TpVccTpSelectionMode" minOccurs="0"/>
+ <xs:element name="aal5TpVccTpId" minOccurs="0"/>
+ <xs:element name="assignIpAddress" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpRoutingTable" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="indexOfDeletableStaticRoutes" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="addStaticRoute" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ <xs:element name="hopIpAddress" minOccurs="0"/>
+ <xs:element name="routeMetric" minOccurs="0"/>
+ <xs:element name="redistribute" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="deleteStaticRoute" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="routingTableEntry" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="active" minOccurs="0"/>
+ <xs:element name="destinationIpAddr" minOccurs="0"/>
+ <xs:element name="destinationNetworkMask" minOccurs="0"/>
+ <xs:element name="interfaceName" minOccurs="0"/>
+ <xs:element name="nextHopIpAddr" minOccurs="0"/>
+ <xs:element name="redistribute" minOccurs="0"/>
+ <xs:element name="routeMetric" minOccurs="0"/>
+ <xs:element name="routeType" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="dnsServer" minOccurs="0"/>
+ <xs:element name="isRecursiveSearch" minOccurs="0"/>
+ <xs:element name="isSubDomainName" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="retransInterval" minOccurs="0"/>
+ <xs:element name="noOfRetrans" minOccurs="0"/>
+ <xs:element name="isDefDomainName" minOccurs="0"/>
+ <xs:element name="defDomainName" minOccurs="0"/>
+ <xs:element name="useHostFile" minOccurs="0"/>
+ <xs:element name="dscp" minOccurs="0"/>
+ <xs:element name="icmpRedirect" minOccurs="0"/>
+ <xs:element name="udpChecksumState" minOccurs="0"/>
+ <xs:element name="connectionAttemptTimer" minOccurs="0"/>
+ <xs:element name="maxRetransmissionAttempts" minOccurs="0"/>
+ <xs:element name="workingMode" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpSystem" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpOam" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataOspf" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="ipMo" minOccurs="0"/>
+ <xs:element name="ospfASBdrRtrStatus" minOccurs="0"/>
+ <xs:element name="ospfAreaBdrRtrStatus" minOccurs="0"/>
+ <xs:element name="ospfRouterId" minOccurs="0"/>
+ <xs:element name="recoverTopoDb" minOccurs="0"/>
+ <xs:element name="topoDbStoreInterv" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataOspfArea" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="areaId" minOccurs="0"/>
+ <xs:element name="areaLsaChecksum" minOccurs="0"/>
+ <xs:element name="importExternalLsa" minOccurs="0"/>
+ <xs:element name="sendAreaSummary" minOccurs="0"/>
+ <xs:element name="stubArea" minOccurs="0"/>
+ <xs:element name="stubAreaMetric" minOccurs="0"/>
+ <xs:element name="stubAreaMetricType" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="range0" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ <xs:element name="advertise" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="range1" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ <xs:element name="advertise" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="range2" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ <xs:element name="advertise" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="addAreaRange" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="rangeIndex" minOccurs="0"/>
+ <xs:element name="range" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ <xs:element name="advertise" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="deleteAreaRange" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="rangeIndex" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataOspfInterface" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="helloInterval" minOccurs="0"/>
+ <xs:element name="interfacePriority" minOccurs="0"/>
+ <xs:element name="interfaceTransitDelay" minOccurs="0"/>
+ <xs:element name="lsaTransmissionInterval" minOccurs="0"/>
+ <xs:element name="ospfAreaRelated" minOccurs="0"/>
+ <xs:element name="relatedLink" minOccurs="0"/>
+ <xs:element name="routerDeadInterval" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataRncModule" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataTnApplication" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData"/>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataVirtualPath" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="vplTpARef" minOccurs="0"/>
+ <xs:element name="vplTpBRef" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataM3uAssociation" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="mtp3bSrsId" minOccurs="0"/>
+ <xs:element name="sctpId" minOccurs="0"/>
+ <xs:element name="dscp" minOccurs="0"/>
+ <xs:element name="associationState" minOccurs="0"/>
+ <xs:element name="localIpMask" minOccurs="0"/>
+ <xs:element name="autoStartAssociation" minOccurs="0"/>
+ <xs:element name="remotePortNumber" minOccurs="0"/>
+ <xs:element name="remoteIpAddress1" minOccurs="0"/>
+ <xs:element name="remoteIpAddress2" minOccurs="0"/>
+ <xs:element name="localPortNumber" minOccurs="0"/>
+ <xs:element name="role" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpAccountingCriteria" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="usageType" minOccurs="0"/>
+ <xs:element name="ssN" minOccurs="0"/>
+ <xs:element name="countType" minOccurs="0"/>
+ <xs:element name="globalTitleId" minOccurs="0"/>
+ <xs:element name="pointerId" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSccpPolicing" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="originatingMtp3bSpc" minOccurs="0"/>
+ <xs:element name="destinationMtp3bSpc" minOccurs="0"/>
+ <xs:element name="originatingSccpSpId" minOccurs="0"/>
+ <xs:element name="originatingSccpSsn" minOccurs="0"/>
+ <xs:element name="destinationSccpSpId" minOccurs="0"/>
+ <xs:element name="destinationSccpSsn" minOccurs="0"/>
+ <xs:element name="policingGlobalTitle" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="indicator" minOccurs="0"/>
+ <xs:element name="natureOfAddress" minOccurs="0"/>
+ <xs:element name="numberingPlan" minOccurs="0"/>
+ <xs:element name="translationType" minOccurs="0"/>
+ <xs:element name="addressInformation" minOccurs="0"/>
+ <xs:element name="encodingScheme" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="discardOrReturnServiceMsg" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSctp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="numberOfAssociations" minOccurs="0"/>
+ <xs:element name="ipAccessHostGpbId" minOccurs="0"/>
+ <xs:element name="minimumRto" minOccurs="0"/>
+ <xs:element name="maximumRto" minOccurs="0"/>
+ <xs:element name="initialRto" minOccurs="0"/>
+ <xs:element name="rtoAlphaIndex" minOccurs="0"/>
+ <xs:element name="rtoBetaIndex" minOccurs="0"/>
+ <xs:element name="validCookieLife" minOccurs="0"/>
+ <xs:element name="allowedIncrementCookieLife" minOccurs="0"/>
+ <xs:element name="keyChangePeriod" minOccurs="0"/>
+ <xs:element name="associationMaxRtx" minOccurs="0"/>
+ <xs:element name="pathMaxRtx" minOccurs="0"/>
+ <xs:element name="maxInitialRtrAtt" minOccurs="0"/>
+ <xs:element name="maxShutDownRtrAtt" minOccurs="0"/>
+ <xs:element name="heartbeatInterval" minOccurs="0"/>
+ <xs:element name="heartbeatStatus" minOccurs="0"/>
+ <xs:element name="maxIncomingStream" minOccurs="0"/>
+ <xs:element name="maxOutgoingStream" minOccurs="0"/>
+ <xs:element name="maxUserDataSize" minOccurs="0"/>
+ <xs:element name="mBuffer" minOccurs="0"/>
+ <xs:element name="nThreshold" minOccurs="0"/>
+ <xs:element name="tSack" minOccurs="0"/>
+ <xs:element name="maxDataSizeIp" minOccurs="0"/>
+ <xs:element name="initialAdRecWin" minOccurs="0"/>
+ <xs:element name="intervalOobPkts" minOccurs="0"/>
+ <xs:element name="intervalLostUser" minOccurs="0"/>
+ <xs:element name="maxBurst" minOccurs="0"/>
+ <xs:element name="nPercentage" minOccurs="0"/>
+ <xs:element name="bundlingActivated" minOccurs="0"/>
+ <xs:element name="bundlingTimer" minOccurs="0"/>
+ <xs:element name="rpuId" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataEthernetLink" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ <xs:element name="broadcastAddress" minOccurs="0"/>
+ <xs:element name="macAddress" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="mtuSize" minOccurs="0"/>
+ <xs:element name="metric" minOccurs="0"/>
+ <xs:element name="interfaceName" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="assignIpAddress" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="subnetMask" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataImaGroup" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="physicalPortList" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element name="requiredNumberOfLinks" minOccurs="0"/>
+ <xs:element name="activeLinks" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataImaLink" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="uses" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpAccessHostGpb" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="generalProcessorUnitId" minOccurs="0"/>
+ <xs:element name="autoConfig" minOccurs="0"/>
+ <xs:element name="autoConfigIdentity" minOccurs="0"/>
+ <xs:element name="autoConfigIdentity2" minOccurs="0"/>
+ <xs:element name="ipAddress1" minOccurs="0"/>
+ <xs:element name="ipAddress2" minOccurs="0"/>
+ <xs:element name="ipDefaultTtl" minOccurs="0"/>
+ <xs:element name="ipReasmTimeout" minOccurs="0"/>
+ <xs:element name="interface1" minOccurs="0"/>
+ <xs:element name="interface2" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpAccessAutoConfig" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="networkPrefixLength" minOccurs="0"/>
+ <xs:element name="nrOfUnusedAdresses" minOccurs="0"/>
+ <xs:element name="totalNrOfAddresses" minOccurs="0"/>
+ <xs:element name="minIpAddress" minOccurs="0"/>
+ <xs:element name="maxIpAddress" minOccurs="0"/>
+ <xs:element name="subnet" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpInterface" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="vid" minOccurs="0"/>
+ <xs:element name="vLan" minOccurs="0"/>
+ <xs:element name="rps" minOccurs="0"/>
+ <xs:element name="mtu" minOccurs="0"/>
+ <xs:element name="networkPrefixLength" minOccurs="0"/>
+ <xs:element name="defaultRouter0" minOccurs="0"/>
+ <xs:element name="defaultRouter1" minOccurs="0"/>
+ <xs:element name="defaultRouter2" minOccurs="0"/>
+ <xs:element name="defaultRouterPingInterval" minOccurs="0"/>
+ <xs:element name="maxWaitForPingReply" minOccurs="0"/>
+ <xs:element name="maxNoOfFailedPings" minOccurs="0"/>
+ <xs:element name="noOfPingsBeforeOk" minOccurs="0"/>
+ <xs:element name="switchBackTimer" minOccurs="0"/>
+ <xs:element name="ownIpAddressActive" minOccurs="0"/>
+ <xs:element name="ownIpAddressPassive" minOccurs="0"/>
+ <xs:element name="subnet" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpAccessHostSpb" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="autoConfig" minOccurs="0"/>
+ <xs:element name="autoConfigIdentity" minOccurs="0"/>
+ <xs:element name="autoConfigIdentity2" minOccurs="0"/>
+ <xs:element name="interface2" minOccurs="0"/>
+ <xs:element name="spmId" minOccurs="0"/>
+ <xs:element name="ipAddress" minOccurs="0"/>
+ <xs:element name="ipAddress2" minOccurs="0"/>
+ <xs:element name="ipDefaultTtl" minOccurs="0"/>
+ <xs:element name="ipInterface" minOccurs="0"/>
+ <xs:element name="ipReasmTimeout" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIpEthPacketDataRouter" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="pdrDeviceRef" minOccurs="0"/>
+ <xs:element name="ipAccessHostSpbRef" minOccurs="0"/>
+ <xs:element name="ipAddressSelection" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSwManagement" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData"/>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataReliableProgramUniter" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSpDevicePool" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData"/>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataPdrDevice" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataExchangeTerminal" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="description" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="aal2LayerDescription" minOccurs="0"/>
+ <xs:element name="atmLayerDescription" minOccurs="0"/>
+ <xs:element name="etType" minOccurs="0"/>
+ <xs:element name="physicalLayerDescription" minOccurs="0"/>
+ <xs:element name="tdmSupport" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataCbu" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataGeneralProcessorUnit" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataVc4Ttp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="pathTraceFormat" minOccurs="0"/>
+ <xs:element name="transmittedPathTrace" minOccurs="0"/>
+ <xs:element name="expectedPathTrace" minOccurs="0"/>
+ <xs:element name="timConsequentAction" minOccurs="0"/>
+ <xs:element name="vcDegThreshold" minOccurs="0"/>
+ <xs:element name="vcDegM" minOccurs="0"/>
+ <xs:element name="auAisReporting" minOccurs="0"/>
+ <xs:element name="vcRdiReporting" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataVc12Ttp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="transmittedPathTrace" minOccurs="0"/>
+ <xs:element name="expectedPathTrace" minOccurs="0"/>
+ <xs:element name="timConsequentAction" minOccurs="0"/>
+ <xs:element name="vcDegThreshold" minOccurs="0"/>
+ <xs:element name="vcDegM" minOccurs="0"/>
+ <xs:element name="tuAisReporting" minOccurs="0"/>
+ <xs:element name="vcRdiReporting" minOccurs="0"/>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataE1Ttp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="degDegThr" minOccurs="0"/>
+ <xs:element name="degDegM" minOccurs="0"/>
+ <xs:element name="aisReporting" minOccurs="0"/>
+ <xs:element name="rdiReporting" minOccurs="0"/>
+ <xs:element name="crc4Mode" minOccurs="0"/>
+ <xs:element name="idlePattern" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSts1SpeTtp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="aisPReporting" minOccurs="0"/>
+ <xs:element name="rdiPReporting" minOccurs="0"/>
+ <xs:element name="timConsequentAction" minOccurs="0"/>
+ <xs:element name="expectedPathTrace" minOccurs="0"/>
+ <xs:element name="transmittedPathTrace" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataVt15Ttp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="aisVreporting" minOccurs="0"/>
+ <xs:element name="rdiVreporting" minOccurs="0"/>
+ <xs:element name="shutDownTimer" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataSts3CspeTtp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="aisPReporting" minOccurs="0"/>
+ <xs:element name="rdiPReporting" minOccurs="0"/>
+ <xs:element name="timConsequentAction" minOccurs="0"/>
+ <xs:element name="expectedPathTrace" minOccurs="0"/>
+ <xs:element name="transmittedPathTrace" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataT1Ttp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="aisReporting" minOccurs="0"/>
+ <xs:element name="rdiReporting" minOccurs="0"/>
+ <xs:element name="idlePattern" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataIuLink" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="packetDataRouterRef" minOccurs="0" maxOccurs="unbounded" />
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataE1PhysPathTerm" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="lineNo" minOccurs="0"/>
+ <xs:element name="loopback" minOccurs="0"/>
+ <xs:element name="crc4Mode" minOccurs="0"/>
+ <xs:element name="idlePattern" minOccurs="0"/>
+ <xs:element name="degDegThr" minOccurs="0"/>
+ <xs:element name="degDegM" minOccurs="0"/>
+ <xs:element name="rdiReporting" minOccurs="0"/>
+ <xs:element name="aisReporting" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataJ1PhysPathTerm" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="lineNo" minOccurs="0"/>
+ <xs:element name="loopback" minOccurs="0"/>
+ <xs:element name="idlePattern" minOccurs="0"/>
+ <xs:element name="physicalLineType" minOccurs="0"/>
+ <xs:element name="degThreshold" minOccurs="0"/>
+ <xs:element name="rdiReporting" minOccurs="0"/>
+ <xs:element name="aisReporting" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataT1PhysPathTerm" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="lineNo" minOccurs="0"/>
+ <xs:element name="loopback" minOccurs="0"/>
+ <xs:element name="rdiReporting" minOccurs="0"/>
+ <xs:element name="aisReporting" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ <xs:element name="idlePattern" minOccurs="0"/>
+ <xs:element name="transmissionMode" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataDs0Bundle" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="listOfTimeSlots" minOccurs="0"/>
+ <xs:element name="tdmMode" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataT3PhysPathTerm" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ <xs:element name="lineNo" minOccurs="0"/>
+ <xs:element name="loopback" minOccurs="0"/>
+ <xs:element name="rdiReporting" minOccurs="0"/>
+ <xs:element name="aisReporting" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataE3PhysPathTerm" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ <xs:element name="lineNo" minOccurs="0"/>
+ <xs:element name="loopback" minOccurs="0"/>
+ <xs:element name="idlePattern" minOccurs="0"/>
+ <xs:element name="degDegThr" minOccurs="0"/>
+ <xs:element name="degDegM" minOccurs="0"/>
+ <xs:element name="rdiReporting" minOccurs="0"/>
+ <xs:element name="aisReporting" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataOs155SpiTtp" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="lineNo" minOccurs="0"/>
+ <xs:element name="standardMode" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ <xs:element name="muxMode" minOccurs="0"/>
+ <xs:element name="msDegThreshold" minOccurs="0"/>
+ <xs:element name="msDegM" minOccurs="0"/>
+ <xs:element name="msRdiReporting" minOccurs="0"/>
+ <xs:element name="msAisReporting" minOccurs="0"/>
+ <xs:element name="loopBack" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataEtMfg" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataGigaBitEthernet" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="dscpPbitMap" minOccurs="0"/>
+ <xs:element name="autoNegotiation" minOccurs="0"/>
+ <xs:element name="frameFormat" minOccurs="0"/>
+ <xs:element name="primaryLink" minOccurs="0"/>
+ <xs:element name="protectiveMode" minOccurs="0"/>
+ <xs:element name="msDegM" minOccurs="0"/>
+ <xs:element name="shutDownTimeout" minOccurs="0"/>
+ <xs:element name="statePropagationDelay" minOccurs="0"/>
+ <xs:element name="switchBackTimer" minOccurs="0"/>
+ <xs:element name="setDscpPbit" minOccurs="0">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="dscp" minOccurs="0"/>
+ <xs:element name="pbit" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataFastEthernet" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="administrativeState" minOccurs="0"/>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="defaultRouter" minOccurs="0"/>
+ <xs:element name="mtu" minOccurs="0"/>
+ <xs:element name="networkPrefixLength" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMediumAccessUnit" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="connectorLabel" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="vsDataMspg" substitutionGroup="xn:vsData">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="xn:vsData">
+ <xs:sequence>
+ <xs:element name="userLabel" minOccurs="0"/>
+ <xs:element name="degCauseMsp" minOccurs="0"/>
+ <xs:element name="os155SpiStandbyId" minOccurs="0"/>
+ <xs:element name="os155SpiWorkingId" minOccurs="0"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+</xs:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/ImportExportMap.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/ImportExportMap.xsd
new file mode 100644
index 0000000000..acbafd42e6
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/ImportExportMap.xsd
@@ -0,0 +1,75 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- edited with XML Spy v4.1 U (http://www.xmlspy.com) by Graham Coster (Ericsson Expertise Ireland) -->
+<!--W3C Schema generated by XML Spy v4.1 U (http://www.xmlspy.com)-->
+<xs:schema xmlns="ImportExportMap.xsd" xmlns:xs="http://www.w3.org/2001/XMLSchema" targetNamespace="ImportExportMap.xsd" elementFormDefault="qualified">
+ <xs:element name="AttributeMap">
+ <xs:complexType>
+ <xs:attribute name="internalName" type="xs:string" use="required"/>
+ <xs:attribute name="externalName" type="xs:string" use="required"/>
+ <xs:attribute name="structAttributeType" type="xs:string" use="optional"/>
+ <xs:attribute name="mapRequired" type="xs:boolean" use="optional" default="false"/>
+ <xs:attribute name="restricted" type="xs:boolean" use="optional" default="false"/>
+ <xs:attribute name="actionUpdated" type="xs:boolean" use="optional" default="false"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="ActionMap">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="ActionAttribute" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ <xs:attribute name="actionName" type="xs:string" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="ActionAttribute">
+ <xs:complexType>
+ <xs:attribute name="name" type="xs:string" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="ChildMoTypes">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="MoType" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="FileMimMap">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="MoMap" minOccurs="0" maxOccurs="unbounded"/>
+ </xs:sequence>
+ <xs:attribute name="fileType" type="xs:string" use="required"/>
+ <xs:attribute name="fileVersion" type="xs:string" use="required"/>
+ <xs:attribute name="ranosMOMType" type="xs:string" use="required"/>
+ <xs:attribute name="ranosMOMVersion" type="xs:string" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="MoMap">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="ValidCommands"/>
+ <xs:element ref="AttributeMap" maxOccurs="unbounded"/>
+ <xs:element ref="ActionMap" minOccurs="0" maxOccurs="unbounded"/>
+ <xs:element ref="ChildMoTypes" minOccurs="0"/>
+ </xs:sequence>
+ <xs:attribute name="fileRoot" type="xs:boolean" use="required"/>
+ <xs:attribute name="externalType" type="xs:string" use="required"/>
+ <xs:attribute name="internalType" type="xs:string" use="required"/>
+ <xs:attribute name="nameSpace" type="xs:string" use="required"/>
+ <xs:attribute name="rt" type="xs:string" use="required"/>
+ <xs:attribute name="overrideFBKDelete" type="xs:boolean" use="optional" default="false"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="MoType">
+ <xs:complexType>
+ <xs:attribute name="name" type="xs:string" use="required"/>
+ <xs:attribute name="rt" type="xs:string" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="ValidCommands">
+ <xs:complexType>
+ <xs:attribute name="create" type="xs:boolean" use="required"/>
+ <xs:attribute name="update" type="xs:boolean" use="required"/>
+ <xs:attribute name="delete" type="xs:boolean" use="required"/>
+ </xs:complexType>
+ </xs:element>
+</xs:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/configData.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/configData.xsd
new file mode 100644
index 0000000000..f07e7c8d3d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/configData.xsd
@@ -0,0 +1,41 @@
+<!--
+ 3GPP TS 32.615 v4.5 Bulk CM IRP
+ Configuration data file base XML schema
+ configData.xsd
+ -->
+<schema targetNamespace="configData.xsd" xmlns:bc="configData.xsd" xmlns:xn="genericNrm.xsd" xmlns:es="EricssonSpecificAttributes.5.1.xsd" xmlns="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified" attributeFormDefault="unqualified">
+ <!-- Configuration data file root XML element -->
+ <import namespace="genericNrm.xsd" schemaLocation="genericNrm.xsd"/>
+ <import namespace="EricssonSpecificAttributes.5.1.xsd" schemaLocation="EricssonSpecificAttributes.5.1.xsd"/>
+ <element name="bulkCmConfigDataFile">
+ <complexType>
+ <sequence>
+ <element name="fileHeader">
+ <complexType>
+ <attribute name="fileFormatVersion" type="string" use="required"/>
+ <attribute name="senderName" type="string" use="optional"/>
+ <attribute name="vendorName" type="string" use="optional"/>
+ </complexType>
+ </element>
+ <element name="configData" maxOccurs="unbounded">
+ <complexType>
+ <choice>
+ <element ref="xn:SubNetwork"/>
+ <element ref="xn:MeContext"/>
+ <!--
+ <element ref="xn:ManagedElement"/>
+ Not Possible at this level in Ericsson Model
+ -->
+ </choice>
+ <attribute name="dnPrefix" type="string" use="optional"/>
+ </complexType>
+ </element>
+ <element name="fileFooter">
+ <complexType>
+ <attribute name="dateTime" type="dateTime" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+ </element>
+</schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/genericNrm.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/genericNrm.xsd
new file mode 100644
index 0000000000..9c672cda8c
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/genericNrm.xsd
@@ -0,0 +1,230 @@
+<!--
+ 3GPP TS 32.615 v4.5 Bulk CM IRP
+ Configuration data file Generic Network Resources IRP NRM XML schema
+ -->
+<schema targetNamespace="genericNrm.xsd" xmlns="http://www.w3.org/2001/XMLSchema" xmlns:un="utranNrm.xsd" xmlns:gn="geranNrm.xsd" xmlns:xn="genericNrm.xsd" elementFormDefault="qualified" attributeFormDefault="unqualified">
+
+ <import namespace="geranNrm.xsd" schemaLocation="geranNrm.xsd"/>
+ <import namespace="utranNrm.xsd" schemaLocation="utranNrm.xsd"/>
+
+ <!-- Abstract base type for all NRM class associated XML elements -->
+ <complexType name="NrmClassXmlType" abstract="true">
+ <attribute name="id" type="string" use="required"/>
+ <attribute name="modifier" use="optional">
+ <simpleType>
+ <restriction base="string">
+ <enumeration value="create"/>
+ <enumeration value="delete"/>
+ <enumeration value="update"/>
+ </restriction>
+ </simpleType>
+ </attribute>
+ </complexType>
+
+ <!-- Generic Network Resources IRP NRM class associated XML elements -->
+ <element name="SubNetwork">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="userDefinedNetworkType" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:SubNetwork"/>
+ <element ref="xn:ManagedElement"/>
+ <element ref="xn:MeContext"/>
+ <element ref="xn:ManagementNode"/>
+ <element ref="xn:IRPAgent"/>
+ <element ref="un:ExternalUtranCell"/>
+ <element ref="gn:ExternalGsmCell"/>
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="ManagedElement">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="managedElementType" minOccurs="0"/>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="vendorName" minOccurs="0"/>
+ <element name="userDefinedState" minOccurs="0"/>
+ <element name="locationName" minOccurs="0"/>
+ <element name="swVersion" minOccurs="0"/>
+ <element name="managedBy" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="un:RncFunction"/>
+ <element ref="un:NodeBFunction"/>
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="MeContext">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:ManagedElement"/>
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="ManagementNode">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="vendorName" minOccurs="0"/>
+ <element name="userDefinedState" minOccurs="0"/>
+ <element name="locationName" minOccurs="0"/>
+ <element name="manages" minOccurs="0"/>
+ <element name="swVersion" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:IRPAgent"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="IRPAgent">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="systemDN" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:NotificationIRP"/>
+ <element ref="xn:AlarmIRP"/>
+ <element ref="xn:BulkCmIRP"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="NotificationIRP">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="irpVersion" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="AlarmIRP">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="irpVersion" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="BulkCmIRP">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="irpVersion" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+
+ <element name="VsDataContainer">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="vsDataType" minOccurs="0"/>
+ <element name="vsDataFormatVersion" minOccurs="0"/>
+ <element ref="xn:vsData" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <complexType name="vsData" abstract="true"/>
+ <!-- VsDataContainer NRM class vsData attribute associated empty XML element -->
+ <element name="vsData" type="xn:vsData" abstract="true"/>
+</schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/geranNrm.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/geranNrm.xsd
new file mode 100644
index 0000000000..b226eb2f4b
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/geranNrm.xsd
@@ -0,0 +1,63 @@
+<!--
+ 3GPP TS 32.615 v4.5 Bulk CM IRP
+ Configuration data file UTRAN Network Resources IRP NRM XML schema
+ To be used with WEGA Bucket 2.1 onwards
+-->
+<schema targetNamespace="geranNrm.xsd" xmlns:gn="geranNrm.xsd" xmlns:un="utranNrm.xsd" xmlns:xn="genericNrm.xsd" xmlns="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified" attributeFormDefault="unqualified">
+ <!-- GERAN Network Resources IRP NRM class associated XML elements -->
+ <import namespace="genericNrm.xsd" schemaLocation="genericNrm.xsd"/>
+ <import namespace="utranNrm.xsd" schemaLocation="utranNrm.xsd"/>
+ <element name="GsmRelation">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all><!--
+ <element name="relationType" minOccurs="0"/>
+ -->
+ <element name="adjacentCell" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="ExternalGsmCell">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="cellIdentity" minOccurs="0"/>
+ <element name="bcchFrequency" minOccurs="0"/>
+ <element name="ncc" minOccurs="0"/>
+ <element name="bcc" minOccurs="0"/>
+ <element name="lac" minOccurs="0"/>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <!--
+ <element name="rac" minOccurs="0"/>
+ <element name="racc" minOccurs="0"/>
+ -->
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+</schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/rnc.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/rnc.xml
new file mode 100644
index 0000000000..b6ca8b4fb1
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/rnc.xml
@@ -0,0 +1,23763 @@
+<?xml version="1.0" encoding="utf-8"?>
+<bulkCmConfigDataFile xmlns="configData.xsd" xmlns:xn="genericNrm.xsd" xmlns:gn="geranNrm.xsd" xmlns:un="utranNrm.xsd" xmlns:es="EricssonSpecificAttributes.5.1.xsd">
+ <fileHeader fileFormatVersion="32.615 V4.5" senderName="DC=a1.companyNN.com,SubNetwork=1,IRPAgent=1" vendorName="Company NN"/>
+ <configData dnPrefix="DC=a0.companyNN.com">
+<xn:SubNetwork id="WEOSSRC1_ROOT_MO_R">
+<xn:SubNetwork id="RNC33">
+<xn:MeContext id="SRNC001">
+
+
+<xn:ManagedElement id="1">
+<xn:attributes>
+
+<xn:userLabel>/proj/netsimproj/public/ftp/simulations/WRAN/R5/Standard/E/V2</xn:userLabel>
+</xn:attributes>
+
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSwManagement</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSwManagement />
+</xn:attributes>
+<xn:VsDataContainer id="scc_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="scc_server" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal2ap13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="sw_inst" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="spas_res" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="inet" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ospf" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal05_ncc" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal_cc" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="phy_e1_mp" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="phy_stm1_mp" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="atmmp" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal2mp" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal2_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal2ncc" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal0d_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal0s_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal5d_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="aal5s_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="usaal_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="nsaal_adm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="equip_mp" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="nss_mp" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ip_util" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="spp_mp" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="http" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="jvm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ans_aal2rh_1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ans_aal2disncc_12_1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ans_aal2cpsrc_12_1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ans_aal2ap_12_1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuCenDh" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuCenRh" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuDh" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuFro" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuCenOm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuUe" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuModOm" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuRanap" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuRnsap" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuCenRnh" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RncRpuCell" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataReliableProgramUniter</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataReliableProgramUniter>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataReliableProgramUniter>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataTransportNetwork</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataTransportNetwork>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataTransportNetwork>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Sp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataAal2Sp>
+
+<es:a2ea> </es:a2ea>
+<es:userLabel> </es:userLabel>
+</es:vsDataAal2Sp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Sp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2Sp>
+
+<es:a2ea> </es:a2ea>
+<es:userLabel> </es:userLabel>
+</es:vsDataAal2Sp>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2QosCodePointProfile=1</es:aal2QoSCodePointProfileId>
+<es:rpuId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataSwManagement=1,vsDataReliableProgramUniter=ans_aal2ap_12_1</es:rpuId>
+<es:secondarySigLinkId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4qb</es:secondarySigLinkId>
+<es:sigLinkId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4qa</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2QosCodePointProfile=1</es:aal2QoSCodePointProfileId>
+<es:rpuId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataSwManagement=1,vsDataReliableProgramUniter=ans_aal2ap_12_1</es:rpuId>
+<es:secondarySigLinkId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4qb</es:secondarySigLinkId>
+<es:sigLinkId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4qa</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathDistributionUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathDistributionUnit>
+
+<es:userLabel> </es:userLabel>
+<es:rpuId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataSwManagement=1,vsDataReliableProgramUniter=ans_aal2cpsrc_12_1</es:rpuId>
+
+<es:aal2PathVccTpList>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2PathVccTp=b1a1</es:aal2PathVccTpList>
+</es:vsDataAal2PathDistributionUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>0</es:aal2QoSCodePointProfileId>
+<es:rpuId>0</es:rpuId>
+<es:secondarySigLinkId>0</es:secondarySigLinkId>
+<es:sigLinkId>0</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>0</es:aal2QoSCodePointProfileId>
+<es:rpuId>0</es:rpuId>
+<es:secondarySigLinkId>0</es:secondarySigLinkId>
+<es:sigLinkId>0</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathDistributionUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathDistributionUnit>
+
+<es:userLabel> </es:userLabel>
+<es:rpuId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataSwManagement=1,vsDataReliableProgramUniter=ans_aal2cpsrc_12_1</es:rpuId>
+
+<es:aal2PathVccTpList>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2PathVccTp=b2a1</es:aal2PathVccTpList>
+</es:vsDataAal2PathDistributionUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>0</es:aal2QoSCodePointProfileId>
+<es:rpuId>0</es:rpuId>
+<es:secondarySigLinkId>0</es:secondarySigLinkId>
+<es:sigLinkId>0</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>0</es:aal2QoSCodePointProfileId>
+<es:rpuId>0</es:rpuId>
+<es:secondarySigLinkId>0</es:secondarySigLinkId>
+<es:sigLinkId>0</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathDistributionUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathDistributionUnit>
+
+<es:userLabel> </es:userLabel>
+<es:rpuId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataSwManagement=1,vsDataReliableProgramUniter=ans_aal2cpsrc_12_1</es:rpuId>
+
+<es:aal2PathVccTpList>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2PathVccTp=b3a1</es:aal2PathVccTpList>
+</es:vsDataAal2PathDistributionUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>0</es:aal2QoSCodePointProfileId>
+<es:rpuId>0</es:rpuId>
+<es:secondarySigLinkId>0</es:secondarySigLinkId>
+<es:sigLinkId>0</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2Ap</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2Ap>
+
+<es:aal2QoSCodePointProfileId>0</es:aal2QoSCodePointProfileId>
+<es:rpuId>0</es:rpuId>
+<es:secondarySigLinkId>0</es:secondarySigLinkId>
+<es:sigLinkId>0</es:sigLinkId>
+<es:timerErq>5000</es:timerErq>
+<es:timerRel>2000</es:timerRel>
+<es:userLabel> </es:userLabel>
+<es:allocationMode>0</es:allocationMode>
+</es:vsDataAal2Ap>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathDistributionUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathDistributionUnit>
+
+<es:userLabel> </es:userLabel>
+<es:rpuId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataSwManagement=1,vsDataReliableProgramUniter=ans_aal2cpsrc_12_1</es:rpuId>
+
+<es:aal2PathVccTpList>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2PathVccTp=b4a1</es:aal2PathVccTpList>
+</es:vsDataAal2PathDistributionUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="MS-24-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=1,vsDataPlugInUnit=1,vsDataExchangeTerminal=ET-M4,vsDataOs155SpiTtp=1,vsDataSts3CspeTtp=1</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=1,vsDataPlugInUnit=1,vsDataExchangeTerminal=ET-M4,vsDataOs155SpiTtp=1,vsDataSts3CspeTtp=1</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes><xn:VsDataContainer id="Vp1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>1</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="Vp1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>1</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp>
+</xn:attributes>
+<xn:VsDataContainer id="vc34" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>34</es:externalVci>
+<es:userLabel>used by Aal0 b1sa (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>35</es:externalVci>
+<es:userLabel>used by Aal0 b1sb (NodeSynchTp2)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc36" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>36</es:externalVci>
+<es:userLabel>used by Aal5 b1ca (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc37" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>37</es:externalVci>
+<es:userLabel>used by Aal5 b1da (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc38" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>38</es:externalVci>
+<es:userLabel>used by Aal5 b1qa (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc39" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>39</es:externalVci>
+<es:userLabel>used by Aal2 b1a1 (Aal2Path)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc43" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C2P4000</es:atmTrafficDescriptorId>
+<es:externalVci>43</es:externalVci>
+<es:userLabel>used by Aal5 b1cb (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc44" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>44</es:externalVci>
+<es:userLabel>used by Aal5 b1db (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc45" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b1qb (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc32-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b1-x1 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc33-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>33</es:externalVci>
+<es:userLabel>used by Aal5 b1-x2 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc34-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>340</es:externalVci>
+<es:userLabel>used by Aal0 b1sa-x (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>350</es:externalVci>
+<es:userLabel>used by Aal0 b1sb-x (NodeSyncT2p)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="Vp2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>2</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="Vp2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>2</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp>
+</xn:attributes>
+<xn:VsDataContainer id="vc34" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>34</es:externalVci>
+<es:userLabel>used by Aal0 b2sa (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>35</es:externalVci>
+<es:userLabel>used by Aal0 b2sb (NodeSynchTp2)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc36" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>36</es:externalVci>
+<es:userLabel>used by Aal5 b2ca (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc37" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>37</es:externalVci>
+<es:userLabel>used by Aal5 b2da (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc38" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>38</es:externalVci>
+<es:userLabel>used by Aal5 b2qa (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc39" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>39</es:externalVci>
+<es:userLabel>used by Aal2 b2a1 (Aal2Path)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc43" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C2P4000</es:atmTrafficDescriptorId>
+<es:externalVci>43</es:externalVci>
+<es:userLabel>used by Aal5 b2cb (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc44" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>44</es:externalVci>
+<es:userLabel>used by Aal5 b2db (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc45" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b2qb (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc32-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b2-x1 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc33-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>33</es:externalVci>
+<es:userLabel>used by Aal5 b2-x2 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc34-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>340</es:externalVci>
+<es:userLabel>used by Aal0 b2sa-x (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>350</es:externalVci>
+<es:userLabel>used by Aal0 b2sb-x (NodeSyncT2p)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="Vp3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>3</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="Vp3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>3</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp>
+</xn:attributes>
+<xn:VsDataContainer id="vc34" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>34</es:externalVci>
+<es:userLabel>used by Aal0 b3sa (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>35</es:externalVci>
+<es:userLabel>used by Aal0 b3sb (NodeSynchTp2)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc36" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>36</es:externalVci>
+<es:userLabel>used by Aal5 b3ca (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc37" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>37</es:externalVci>
+<es:userLabel>used by Aal5 b3da (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc38" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>38</es:externalVci>
+<es:userLabel>used by Aal5 b3qa (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc39" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>39</es:externalVci>
+<es:userLabel>used by Aal2 b3a1 (Aal2Path)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc43" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C2P4000</es:atmTrafficDescriptorId>
+<es:externalVci>43</es:externalVci>
+<es:userLabel>used by Aal5 b3cb (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc44" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>44</es:externalVci>
+<es:userLabel>used by Aal5 b3db (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc45" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b3qb (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc32-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b3-x1 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc33-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>33</es:externalVci>
+<es:userLabel>used by Aal5 b3-x2 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc34-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>340</es:externalVci>
+<es:userLabel>used by Aal0 b3sa-x (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>350</es:externalVci>
+<es:userLabel>used by Aal0 b3sb-x (NodeSyncT2p)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="Vp4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>4</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="Vp4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVplTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVplTp>
+
+<es:atmTrafficDescriptor>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptor>
+<es:externalVpi>4</es:externalVpi>
+<es:userLabel> </es:userLabel>
+</es:vsDataVplTp>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVpcTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVpcTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:userLabel> </es:userLabel>
+<es:counterMode>0</es:counterMode>
+</es:vsDataVpcTp>
+</xn:attributes>
+<xn:VsDataContainer id="vc34" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>34</es:externalVci>
+<es:userLabel>used by Aal0 b4sa (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>35</es:externalVci>
+<es:userLabel>used by Aal0 b4sb (NodeSynchTp2)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc36" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>36</es:externalVci>
+<es:userLabel>used by Aal5 b4ca (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc37" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>37</es:externalVci>
+<es:userLabel>used by Aal5 b4da (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc38" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>38</es:externalVci>
+<es:userLabel>used by Aal5 b4qa (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc39" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>39</es:externalVci>
+<es:userLabel>used by Aal2 b4a1 (Aal2Path)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc43" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C2P4000</es:atmTrafficDescriptorId>
+<es:externalVci>43</es:externalVci>
+<es:userLabel>used by Aal5 b4cb (Nbap Common)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc44" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>44</es:externalVci>
+<es:userLabel>used by Aal5 b4db (Nbap Dedicated)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc45" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b4qb (Aal2 signalling)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc32-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>45</es:externalVci>
+<es:userLabel>used by Aal5 b4-x1 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc33-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=U3P1000M80</es:atmTrafficDescriptorId>
+<es:externalVci>33</es:externalVci>
+<es:userLabel>used by Aal5 b4-x2 (IpAtmLink)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc34-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>340</es:externalVci>
+<es:userLabel>used by Aal0 b4sa-x (NodeSynchTp1)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="vc35-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataVclTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVclTp>
+
+<es:atmTrafficDescriptorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmTrafficDescriptor=C1P4528</es:atmTrafficDescriptorId>
+<es:externalVci>350</es:externalVci>
+<es:userLabel>used by Aal0 b4sb-x (NodeSyncT2p)</es:userLabel>
+</es:vsDataVclTp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-24-8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-25-8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-26-8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS-27-8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmPort</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmPort>
+
+<es:userLabel> </es:userLabel>
+<es:uses>0</es:uses>
+<es:hecCorrectionMode>true</es:hecCorrectionMode>
+</es:vsDataAtmPort>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataMspg</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataMspg>
+
+<es:userLabel> </es:userLabel>
+<es:degCauseMsp>false</es:degCauseMsp>
+<es:os155SpiStandbyId>0</es:os155SpiStandbyId>
+<es:os155SpiWorkingId>0</es:os155SpiWorkingId>
+</es:vsDataMspg>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="C1P4528" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmTrafficDescriptor</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmTrafficDescriptor>
+
+<es:egressAtmMcr>0</es:egressAtmMcr>
+<es:egressAtmPcr>0</es:egressAtmPcr>
+<es:egressAtmQos>1</es:egressAtmQos>
+<es:ingressAtmMcr>0</es:ingressAtmMcr>
+<es:ingressAtmPcr>0</es:ingressAtmPcr>
+<es:ingressAtmQos>1</es:ingressAtmQos>
+<es:serviceCategory>1</es:serviceCategory>
+<es:userLabel> </es:userLabel>
+<es:packetDiscard>false</es:packetDiscard>
+</es:vsDataAtmTrafficDescriptor>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="C1P5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmTrafficDescriptor</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmTrafficDescriptor>
+
+<es:egressAtmMcr>0</es:egressAtmMcr>
+<es:egressAtmPcr>0</es:egressAtmPcr>
+<es:egressAtmQos>1</es:egressAtmQos>
+<es:ingressAtmMcr>0</es:ingressAtmMcr>
+<es:ingressAtmPcr>0</es:ingressAtmPcr>
+<es:ingressAtmQos>1</es:ingressAtmQos>
+<es:serviceCategory>1</es:serviceCategory>
+<es:userLabel> </es:userLabel>
+<es:packetDiscard>false</es:packetDiscard>
+</es:vsDataAtmTrafficDescriptor>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="C2P4000" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmTrafficDescriptor</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmTrafficDescriptor>
+
+<es:egressAtmMcr>0</es:egressAtmMcr>
+<es:egressAtmPcr>0</es:egressAtmPcr>
+<es:egressAtmQos>1</es:egressAtmQos>
+<es:ingressAtmMcr>0</es:ingressAtmMcr>
+<es:ingressAtmPcr>0</es:ingressAtmPcr>
+<es:ingressAtmQos>1</es:ingressAtmQos>
+<es:serviceCategory>1</es:serviceCategory>
+<es:userLabel> </es:userLabel>
+<es:packetDiscard>false</es:packetDiscard>
+</es:vsDataAtmTrafficDescriptor>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="U3P1000M80" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAtmTrafficDescriptor</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAtmTrafficDescriptor>
+
+<es:egressAtmMcr>0</es:egressAtmMcr>
+<es:egressAtmPcr>0</es:egressAtmPcr>
+<es:egressAtmQos>1</es:egressAtmQos>
+<es:ingressAtmMcr>0</es:ingressAtmMcr>
+<es:ingressAtmPcr>0</es:ingressAtmPcr>
+<es:ingressAtmQos>1</es:ingressAtmQos>
+<es:serviceCategory>1</es:serviceCategory>
+<es:userLabel> </es:userLabel>
+<es:packetDiscard>false</es:packetDiscard>
+</es:vsDataAtmTrafficDescriptor>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc37</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc36</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc44</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc43</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1-x1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc32-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1-x2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc33-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc37</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc36</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc44</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc43</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2-x1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc32-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2-x2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc33-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc37</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc36</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc44</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc43</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3-x1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc32-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3-x2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc33-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc37</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc36</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc44</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc43</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4-x1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc32-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4-x2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal5TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal5TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:fromUserMaxSduSize>1</es:fromUserMaxSduSize>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=24,vsDataPlugInUnit=1</es:processorId>
+<es:toUserMaxSduSize>1</es:toUserMaxSduSize>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc33-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal5TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalProfile</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalProfile>
+
+<es:userLabel> </es:userLabel>
+<es:profileData>
+<es:congestionAbatement>60</es:congestionAbatement>
+<es:congestionOnSet>70</es:congestionOnSet>
+<es:initialCredit>250</es:initialCredit>
+<es:maxCC>4</es:maxCC>
+<es:maxPD>25</es:maxPD>
+<es:maxStat>67</es:maxStat>
+<es:timerCC>1000</es:timerCC>
+<es:timerIdle>15000</es:timerIdle>
+<es:timerKeepAlive>2000</es:timerKeepAlive>
+<es:timerNoResponse>7000</es:timerNoResponse>
+<es:timerPoll>750</es:timerPoll></es:profileData>
+</es:vsDataUniSaalProfile>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalProfile</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalProfile>
+
+<es:userLabel> </es:userLabel>
+<es:profileData>
+<es:congestionAbatement>60</es:congestionAbatement>
+<es:congestionOnSet>70</es:congestionOnSet>
+<es:initialCredit>250</es:initialCredit>
+<es:maxCC>4</es:maxCC>
+<es:maxPD>25</es:maxPD>
+<es:maxStat>67</es:maxStat>
+<es:timerCC>1000</es:timerCC>
+<es:timerIdle>15000</es:timerIdle>
+<es:timerKeepAlive>2000</es:timerKeepAlive>
+<es:timerNoResponse>7000</es:timerNoResponse>
+<es:timerPoll>750</es:timerPoll></es:profileData>
+</es:vsDataUniSaalProfile>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalProfile</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalProfile>
+
+<es:userLabel> </es:userLabel>
+<es:profileData>
+<es:congestionAbatement>60</es:congestionAbatement>
+<es:congestionOnSet>70</es:congestionOnSet>
+<es:initialCredit>250</es:initialCredit>
+<es:maxCC>4</es:maxCC>
+<es:maxPD>25</es:maxPD>
+<es:maxStat>67</es:maxStat>
+<es:timerCC>1000</es:timerCC>
+<es:timerIdle>15000</es:timerIdle>
+<es:timerKeepAlive>2000</es:timerKeepAlive>
+<es:timerNoResponse>7000</es:timerNoResponse>
+<es:timerPoll>750</es:timerPoll></es:profileData>
+</es:vsDataUniSaalProfile>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b1da</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b1ca</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b1db</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b1cb</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1qa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1qb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b2da</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b2ca</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b2db</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b2cb</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2qa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2qb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b3da</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b3ca</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b3db</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b3cb</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3qa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3qb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4da" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b4da</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4ca" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b4ca</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4db" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b4db</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4cb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b4cb</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalProfile=1</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4qa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4qb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUniSaalTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUniSaalTp>
+
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+<es:maxSduSize>128</es:maxSduSize>
+<es:uniSaalProfileId>0</es:uniSaalProfileId>
+<es:userLabel> </es:userLabel>
+</es:vsDataUniSaalTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1sa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc34</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1sb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc35</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1sa-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc34-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1sb-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc35-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2sa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc34</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2sb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc35</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2sa-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc34-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2sb-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc35-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3sa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc34</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3sb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc35</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3sa-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc34-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3sb-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc35-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4sa" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc34</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4sb" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc35</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4sa-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc34-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4sb-x" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal0TpVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal0TpVccTp>
+
+<es:alarmReport>2</es:alarmReport>
+<es:continuityCheck>false</es:continuityCheck>
+<es:nomPmBlkSize>1024</es:nomPmBlkSize>
+<es:processorId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataEquipment=1,vsDataSubrack=MS,vsDataSlot=4,vsDataPlugInUnit=1</es:processorId>
+<es:userLabel> </es:userLabel>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc35-x</es:vclTpId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal0TpVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b1a1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathVccTp>
+
+<es:aal2PathOwner>true</es:aal2PathOwner>
+<es:alarmReport>2</es:alarmReport>
+<es:nomPmBlocksize>1024</es:nomPmBlocksize>
+<es:timerCu>10</es:timerCu>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>1</es:administrativeState>
+<es:continuityCheck>false</es:continuityCheck>
+<es:aal2PathId>1</es:aal2PathId>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp1,vsDataVpcTp=1,vsDataVclTp=vc39</es:vclTpId>
+<es:aal2QoSAvailableProfiles>15</es:aal2QoSAvailableProfiles>
+<es:aal2QoSProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2QosProfile=1</es:aal2QoSProfileId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal2PathVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b2a1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathVccTp>
+
+<es:aal2PathOwner>true</es:aal2PathOwner>
+<es:alarmReport>2</es:alarmReport>
+<es:nomPmBlocksize>1024</es:nomPmBlocksize>
+<es:timerCu>10</es:timerCu>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>1</es:administrativeState>
+<es:continuityCheck>false</es:continuityCheck>
+<es:aal2PathId>1</es:aal2PathId>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp2,vsDataVpcTp=1,vsDataVclTp=vc39</es:vclTpId>
+<es:aal2QoSAvailableProfiles>15</es:aal2QoSAvailableProfiles>
+<es:aal2QoSProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2QosProfile=1</es:aal2QoSProfileId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal2PathVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b3a1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathVccTp>
+
+<es:aal2PathOwner>true</es:aal2PathOwner>
+<es:alarmReport>2</es:alarmReport>
+<es:nomPmBlocksize>1024</es:nomPmBlocksize>
+<es:timerCu>10</es:timerCu>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>1</es:administrativeState>
+<es:continuityCheck>false</es:continuityCheck>
+<es:aal2PathId>1</es:aal2PathId>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp3,vsDataVpcTp=1,vsDataVclTp=vc39</es:vclTpId>
+<es:aal2QoSAvailableProfiles>15</es:aal2QoSAvailableProfiles>
+<es:aal2QoSProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2QosProfile=1</es:aal2QoSProfileId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal2PathVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="b4a1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2PathVccTp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2PathVccTp>
+
+<es:aal2PathOwner>true</es:aal2PathOwner>
+<es:alarmReport>2</es:alarmReport>
+<es:nomPmBlocksize>1024</es:nomPmBlocksize>
+<es:timerCu>10</es:timerCu>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>1</es:administrativeState>
+<es:continuityCheck>false</es:continuityCheck>
+<es:aal2PathId>1</es:aal2PathId>
+<es:vclTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAtmPort=MS-24-1,vsDataVplTp=Vp4,vsDataVpcTp=1,vsDataVclTp=vc39</es:vclTpId>
+<es:aal2QoSAvailableProfiles>15</es:aal2QoSAvailableProfiles>
+<es:aal2QoSProfileId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal2QosProfile=1</es:aal2QoSProfileId>
+<es:counterMode>6</es:counterMode>
+<es:counterActivation>false</es:counterActivation>
+</es:vsDataAal2PathVccTp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2QosProfile</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2QosProfile>
+
+<es:profileClassA>
+<es:boundOnProbOfDelay>500000</es:boundOnProbOfDelay>
+<es:boundOnProbOfLoss>500000</es:boundOnProbOfLoss>
+<es:boundOnNodeDelay>5000</es:boundOnNodeDelay></es:profileClassA>
+<es:profileClassB>
+<es:boundOnProbOfDelay>500000</es:boundOnProbOfDelay>
+<es:boundOnProbOfLoss>500000</es:boundOnProbOfLoss>
+<es:boundOnNodeDelay>15000</es:boundOnNodeDelay></es:profileClassB>
+<es:profileClassC>
+<es:boundOnProbOfDelay>1000000000</es:boundOnProbOfDelay>
+<es:boundOnProbOfLoss>1000000000</es:boundOnProbOfLoss>
+<es:boundOnNodeDelay>25000</es:boundOnNodeDelay></es:profileClassC>
+<es:profileClassD>
+<es:boundOnProbOfDelay>1000000000</es:boundOnProbOfDelay>
+<es:boundOnProbOfLoss>1000000000</es:boundOnProbOfLoss>
+<es:boundOnNodeDelay>50000</es:boundOnNodeDelay></es:profileClassD>
+<es:userLabel> </es:userLabel>
+</es:vsDataAal2QosProfile>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2QosCodePointProfile</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2QosCodePointProfile>
+
+<es:userLabel> </es:userLabel>
+<es:qualityOfServiceCodePointA>0</es:qualityOfServiceCodePointA>
+<es:qualityOfServiceCodePointB>0</es:qualityOfServiceCodePointB>
+<es:qualityOfServiceCodePointC>0</es:qualityOfServiceCodePointC>
+<es:qualityOfServiceCodePointD>0</es:qualityOfServiceCodePointD>
+</es:vsDataAal2QosCodePointProfile>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataAal2RoutingCase</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataAal2RoutingCase>
+
+<es:userLabel> </es:userLabel>
+<es:numberDirection> </es:numberDirection>
+<es:routeList></es:routeList>
+<es:routePriorityList></es:routePriorityList>
+</es:vsDataAal2RoutingCase>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIpSystem</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpSystem>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataIpSystem>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataEquipment</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataEquipment />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSpDevicePool</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSpDevicePool />
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSpDevicePool</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSpDevicePool />
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSpDevicePool</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSpDevicePool />
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="MS" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSubrack</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSubrack />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes><xn:VsDataContainer id="ET-M4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataExchangeTerminal</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataExchangeTerminal>
+
+
+<es:userLabel> </es:userLabel>
+</es:vsDataExchangeTerminal></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ET-M4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataExchangeTerminal</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataExchangeTerminal>
+
+
+<es:userLabel> </es:userLabel>
+</es:vsDataExchangeTerminal>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataOs155SpiTtp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataOs155SpiTtp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:lineNo>1</es:lineNo>
+<es:standardMode>0</es:standardMode>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+<es:muxMode>0</es:muxMode>
+<es:msDegThreshold>30</es:msDegThreshold>
+<es:msDegM>7</es:msDegM>
+<es:msRdiReporting>false</es:msRdiReporting>
+<es:msAisReporting>false</es:msAisReporting>
+<es:loopBack>0</es:loopBack>
+</es:vsDataOs155SpiTtp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataOs155SpiTtp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataOs155SpiTtp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:lineNo>1</es:lineNo>
+<es:standardMode>0</es:standardMode>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+<es:muxMode>0</es:muxMode>
+<es:msDegThreshold>30</es:msDegThreshold>
+<es:msDegM>7</es:msDegM>
+<es:msRdiReporting>false</es:msRdiReporting>
+<es:msAisReporting>false</es:msAisReporting>
+<es:loopBack>0</es:loopBack>
+</es:vsDataOs155SpiTtp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc4Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc4Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:pathTraceFormat>16</es:pathTraceFormat>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:auAisReporting>false</es:auAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+</es:vsDataVc4Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="31" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="32" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="33" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="34" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="35" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="36" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="37" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="38" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="39" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="40" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="41" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="42" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="43" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="44" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="45" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="46" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="47" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="48" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="49" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="50" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="51" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="52" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="53" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="54" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="55" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="56" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="57" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="58" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="59" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="60" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="61" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="62" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="63" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVc12Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVc12Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:vcDegThreshold>30</es:vcDegThreshold>
+<es:vcDegM>7</es:vcDegM>
+<es:tuAisReporting>false</es:tuAisReporting>
+<es:vcRdiReporting>false</es:vcRdiReporting>
+<es:administrativeState>0</es:administrativeState>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataVc12Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataE1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSts3CspeTtp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataSts3CspeTtp>
+
+<es:userLabel> </es:userLabel>
+<es:aisPReporting>false</es:aisPReporting>
+<es:rdiPReporting>false</es:rdiPReporting>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+</es:vsDataSts3CspeTtp>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSts1SpeTtp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataSts1SpeTtp>
+
+<es:userLabel> </es:userLabel>
+<es:aisPReporting>false</es:aisPReporting>
+<es:rdiPReporting>false</es:rdiPReporting>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+</es:vsDataSts1SpeTtp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSts1SpeTtp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataSts1SpeTtp>
+
+<es:userLabel> </es:userLabel>
+<es:aisPReporting>false</es:aisPReporting>
+<es:rdiPReporting>false</es:rdiPReporting>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+</es:vsDataSts1SpeTtp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSts1SpeTtp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataSts1SpeTtp>
+
+<es:userLabel> </es:userLabel>
+<es:aisPReporting>false</es:aisPReporting>
+<es:rdiPReporting>false</es:rdiPReporting>
+<es:timConsequentAction>false</es:timConsequentAction>
+<es:expectedPathTrace> </es:expectedPathTrace>
+<es:transmittedPathTrace> </es:transmittedPathTrace>
+</es:vsDataSts1SpeTtp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataVt15Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataVt15Ttp>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:aisVreporting>false</es:aisVreporting>
+<es:rdiVreporting>false</es:rdiVreporting>
+<es:shutDownTimer>1800</es:shutDownTimer>
+</es:vsDataVt15Ttp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataT1Ttp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1Ttp>
+
+<es:userLabel> </es:userLabel>
+<es:aisReporting>false</es:aisReporting>
+<es:rdiReporting>false</es:rdiReporting>
+<es:idlePattern>0</es:idlePattern>
+</es:vsDataT1Ttp>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataE3PhysPathTerm</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE3PhysPathTerm>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+<es:lineNo>1</es:lineNo>
+<es:loopback>0</es:loopback>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:rdiReporting>false</es:rdiReporting>
+<es:aisReporting>false</es:aisReporting>
+</es:vsDataE3PhysPathTerm>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataT3PhysPathTerm</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT3PhysPathTerm>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+<es:lineNo>1</es:lineNo>
+<es:loopback>0</es:loopback>
+<es:rdiReporting>false</es:rdiReporting>
+<es:aisReporting>false</es:aisReporting>
+</es:vsDataT3PhysPathTerm>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataE1PhysPathTerm</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataE1PhysPathTerm>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:lineNo>1</es:lineNo>
+<es:loopback>0</es:loopback>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:rdiReporting>false</es:rdiReporting>
+<es:aisReporting>false</es:aisReporting>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataE1PhysPathTerm></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataE1PhysPathTerm</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataE1PhysPathTerm>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:lineNo>1</es:lineNo>
+<es:loopback>0</es:loopback>
+<es:crc4Mode>1</es:crc4Mode>
+<es:idlePattern>0</es:idlePattern>
+<es:degDegThr>30</es:degDegThr>
+<es:degDegM>7</es:degDegM>
+<es:rdiReporting>false</es:rdiReporting>
+<es:aisReporting>false</es:aisReporting>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataE1PhysPathTerm>
+</xn:attributes>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataDs0Bundle</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataDs0Bundle>
+
+<es:userLabel> </es:userLabel>
+<es:listOfTimeSlots></es:listOfTimeSlots>
+<es:tdmMode>true</es:tdmMode>
+</es:vsDataDs0Bundle>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataJ1PhysPathTerm</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataJ1PhysPathTerm>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:lineNo>1</es:lineNo>
+<es:loopback>0</es:loopback>
+<es:idlePattern>0</es:idlePattern>
+<es:physicalLineType>0</es:physicalLineType>
+<es:degThreshold>6</es:degThreshold>
+<es:rdiReporting>false</es:rdiReporting>
+<es:aisReporting>false</es:aisReporting>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+</es:vsDataJ1PhysPathTerm>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataT1PhysPathTerm</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataT1PhysPathTerm>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:lineNo>1</es:lineNo>
+<es:loopback>0</es:loopback>
+<es:rdiReporting>false</es:rdiReporting>
+<es:aisReporting>false</es:aisReporting>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+<es:idlePattern>0</es:idlePattern>
+<es:transmissionMode>0</es:transmissionMode>
+</es:vsDataT1PhysPathTerm>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEtMfg</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataEtMfg>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataEtMfg></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataEtMfg</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEtMfg>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataEtMfg>
+</xn:attributes>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGigaBitEthernet</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGigaBitEthernet>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:dscpPbitMap></es:dscpPbitMap>
+<es:autoNegotiation>true</es:autoNegotiation>
+<es:frameFormat>0</es:frameFormat>
+<es:primaryLink>0</es:primaryLink>
+<es:protectiveMode>true</es:protectiveMode>
+<es:shutDownTimeout>1800</es:shutDownTimeout>
+<es:statePropagationDelay>25</es:statePropagationDelay>
+<es:switchBackTimer>30</es:switchBackTimer>
+</es:vsDataGigaBitEthernet>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGeneralProcessorUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataGeneralProcessorUnit>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataGeneralProcessorUnit></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataGeneralProcessorUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGeneralProcessorUnit>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataGeneralProcessorUnit>
+</xn:attributes>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFastEthernet</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFastEthernet>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel> </es:userLabel>
+<es:defaultRouter> </es:defaultRouter>
+<es:mtu>1500</es:mtu>
+<es:networkPrefixLength>0</es:networkPrefixLength>
+</es:vsDataFastEthernet>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="ta1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataMediumAccessUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataMediumAccessUnit>
+
+<es:userLabel> </es:userLabel>
+<es:connectorLabel>Eth</es:connectorLabel>
+</es:vsDataMediumAccessUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSlot</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSlot />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPlugInUnit</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPlugInUnit>
+
+<es:administrativeState>0</es:administrativeState>
+<es:allowedSeqRestarts>3</es:allowedSeqRestarts>
+<es:piuGroupNumber>0</es:piuGroupNumber>
+<es:piuType>0</es:piuType>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataPlugInUnit>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSystemFunctions</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataSystemFunctions />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataPmService</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPmService>
+
+<es:minorAlarmCeasingDelta>5</es:minorAlarmCeasingDelta>
+<es:warningAlarmLimitPercent>80</es:warningAlarmLimitPercent>
+<es:warningAlarmCeasingDelta>5</es:warningAlarmCeasingDelta>
+</es:vsDataPmService>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataLicensing</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataLicensing />
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataRncFeature</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRncFeature>
+
+<es:featureState>0</es:featureState>
+
+
+
+
+</es:vsDataRncFeature>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIpOam</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpOam>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataIpOam>
+</xn:attributes><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataIp>
+
+<es:dnsServer>127.0.0.1</es:dnsServer>
+<es:isRecursiveSearch>true</es:isRecursiveSearch>
+<es:isSubDomainName>true</es:isSubDomainName>
+<es:userLabel> </es:userLabel>
+<es:retransInterval>4</es:retransInterval>
+<es:noOfRetrans>5</es:noOfRetrans>
+<es:isDefDomainName>false</es:isDefDomainName>
+<es:defDomainName> </es:defDomainName>
+<es:useHostFile>true</es:useHostFile>
+<es:dscp>0</es:dscp>
+<es:icmpRedirect>true</es:icmpRedirect>
+<es:udpChecksumState>1</es:udpChecksumState>
+<es:connectionAttemptTimer>75</es:connectionAttemptTimer>
+<es:maxRetransmissionAttempts>10</es:maxRetransmissionAttempts>
+<es:workingMode>0</es:workingMode>
+</es:vsDataIp></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIp</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIp>
+
+<es:dnsServer>127.0.0.1</es:dnsServer>
+<es:isRecursiveSearch>true</es:isRecursiveSearch>
+<es:isSubDomainName>true</es:isSubDomainName>
+<es:userLabel> </es:userLabel>
+<es:retransInterval>4</es:retransInterval>
+<es:noOfRetrans>5</es:noOfRetrans>
+<es:isDefDomainName>false</es:isDefDomainName>
+<es:defDomainName> </es:defDomainName>
+<es:useHostFile>true</es:useHostFile>
+<es:dscp>0</es:dscp>
+<es:icmpRedirect>true</es:icmpRedirect>
+<es:udpChecksumState>1</es:udpChecksumState>
+<es:connectionAttemptTimer>75</es:connectionAttemptTimer>
+<es:maxRetransmissionAttempts>10</es:maxRetransmissionAttempts>
+<es:workingMode>0</es:workingMode>
+</es:vsDataIp>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIpRoutingTable</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpRoutingTable>
+
+
+<es:userLabel> </es:userLabel>
+</es:vsDataIpRoutingTable>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEthernetLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEthernetLink>
+
+<es:ipAddress> </es:ipAddress>
+<es:subnetMask> </es:subnetMask>
+<es:broadcastAddress> </es:broadcastAddress>
+
+
+<es:metric>1</es:metric>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataEthernetLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>0</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b1-x1</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b1-x2</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b2-x1</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b2-x2</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b3-x1</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b3-x2</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4-1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b4-x1</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4-2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIpAtmLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIpAtmLink>
+
+
+<es:ipAddress> </es:ipAddress>
+<es:metric>1</es:metric>
+<es:monitor>true</es:monitor>
+<es:monitorInterval>10</es:monitorInterval>
+<es:monitorRetries>10</es:monitorRetries>
+<es:mtuSize>1500</es:mtuSize>
+<es:subnetMask> </es:subnetMask>
+<es:userLabel> </es:userLabel>
+<es:aal5TpVccTpId>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataAal5TpVccTp=b4-x2</es:aal5TpVccTpId>
+</es:vsDataIpAtmLink>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataOspf</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataOspf>
+
+<es:ipMo>0</es:ipMo>
+<es:ospfASBdrRtrStatus>false</es:ospfASBdrRtrStatus>
+
+<es:ospfRouterId> </es:ospfRouterId>
+<es:recoverTopoDb>true</es:recoverTopoDb>
+<es:topoDbStoreInterv>60</es:topoDbStoreInterv>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataOspf></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataOspf</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataOspf>
+
+<es:ipMo>0</es:ipMo>
+<es:ospfASBdrRtrStatus>false</es:ospfASBdrRtrStatus>
+
+<es:ospfRouterId> </es:ospfRouterId>
+<es:recoverTopoDb>true</es:recoverTopoDb>
+<es:topoDbStoreInterv>60</es:topoDbStoreInterv>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataOspf>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataOspfInterface</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataOspfInterface>
+
+<es:helloInterval>10</es:helloInterval>
+<es:interfacePriority>1</es:interfacePriority>
+<es:interfaceTransitDelay>1</es:interfaceTransitDelay>
+<es:lsaTransmissionInterval>5</es:lsaTransmissionInterval>
+<es:ospfAreaRelated>0</es:ospfAreaRelated>
+<es:relatedLink>0</es:relatedLink>
+<es:routerDeadInterval>40</es:routerDeadInterval>
+<es:userLabel> </es:userLabel>
+</es:vsDataOspfInterface>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataOspfArea</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataOspfArea>
+
+<es:areaId> </es:areaId>
+
+<es:importExternalLsa>true</es:importExternalLsa>
+<es:sendAreaSummary>false</es:sendAreaSummary>
+<es:stubArea>false</es:stubArea>
+<es:stubAreaMetric>1</es:stubAreaMetric>
+<es:stubAreaMetricType>0</es:stubAreaMetricType>
+<es:userLabel> </es:userLabel>
+<es:range0>
+<es:ipAddress></es:ipAddress>
+<es:subnetMask></es:subnetMask>
+<es:advertise>true</es:advertise></es:range0>
+
+
+</es:vsDataOspfArea>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<un:RncFunction id="1" modifier="update">
+<un:attributes>
+
+<un:userLabel>/proj/netsimproj/public/ftp/simulations/WRAN/R5/Standard/E/V2</un:userLabel>
+<un:mcc>353</un:mcc>
+<un:mnc>77</un:mnc>
+<un:rncId>1</un:rncId>
+</un:attributes>
+
+<un:IubLink id="1" modifier="create">
+<un:attributes>
+
+</un:attributes>
+</un:IubLink>
+
+<un:IubLink id="1" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIubLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubLink>
+
+<es:rbsId>1</es:rbsId>
+<es:dlHwAdm>100</es:dlHwAdm>
+<es:ulHwAdm>100</es:ulHwAdm>
+
+<es:preferredSubrackRef>0</es:preferredSubrackRef>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIubLink>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataNodeSynch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNodeSynch>
+
+<es:userLabel> </es:userLabel>
+<es:phaseDiffThreshold>100</es:phaseDiffThreshold>
+
+
+<es:sampleIntervalSup>10</es:sampleIntervalSup>
+<es:fixedWindowSizeSup>10</es:fixedWindowSizeSup>
+<es:slidingWindowSize>100</es:slidingWindowSize>
+</es:vsDataNodeSynch>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapCommon</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapCommon>
+
+<es:userLabel> </es:userLabel>
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b1ca</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b1cb</es:standbyUniSaalTpRef>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapCommon>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapDedicated</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapDedicated>
+
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b1da</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b1db</es:standbyUniSaalTpRef>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapDedicated>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIubEdch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubEdch>
+
+<es:edchDataFrameDelayThreshold>60</es:edchDataFrameDelayThreshold>
+</es:vsDataIubEdch>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:IubLink>
+<un:IubLink id="2" modifier="create">
+<un:attributes>
+
+</un:attributes>
+</un:IubLink>
+
+<un:IubLink id="2" modifier="update">
+
+<xn:VsDataContainer id="2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIubLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubLink>
+
+<es:rbsId>2</es:rbsId>
+<es:dlHwAdm>100</es:dlHwAdm>
+<es:ulHwAdm>100</es:ulHwAdm>
+
+<es:preferredSubrackRef>0</es:preferredSubrackRef>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIubLink>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataNodeSynch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNodeSynch>
+
+<es:userLabel> </es:userLabel>
+<es:phaseDiffThreshold>100</es:phaseDiffThreshold>
+
+
+<es:sampleIntervalSup>10</es:sampleIntervalSup>
+<es:fixedWindowSizeSup>10</es:fixedWindowSizeSup>
+<es:slidingWindowSize>100</es:slidingWindowSize>
+</es:vsDataNodeSynch>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapCommon</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapCommon>
+
+<es:userLabel> </es:userLabel>
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b2ca</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b2cb</es:standbyUniSaalTpRef>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapCommon>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapDedicated</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapDedicated>
+
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b2da</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b2db</es:standbyUniSaalTpRef>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapDedicated>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIubEdch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubEdch>
+
+<es:edchDataFrameDelayThreshold>60</es:edchDataFrameDelayThreshold>
+</es:vsDataIubEdch>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:IubLink>
+<un:IubLink id="3" modifier="create">
+<un:attributes>
+
+</un:attributes>
+</un:IubLink>
+
+<un:IubLink id="3" modifier="update">
+
+<xn:VsDataContainer id="3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIubLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubLink>
+
+<es:rbsId>3</es:rbsId>
+<es:dlHwAdm>100</es:dlHwAdm>
+<es:ulHwAdm>100</es:ulHwAdm>
+
+<es:preferredSubrackRef>0</es:preferredSubrackRef>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIubLink>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataNodeSynch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNodeSynch>
+
+<es:userLabel> </es:userLabel>
+<es:phaseDiffThreshold>100</es:phaseDiffThreshold>
+
+
+<es:sampleIntervalSup>10</es:sampleIntervalSup>
+<es:fixedWindowSizeSup>10</es:fixedWindowSizeSup>
+<es:slidingWindowSize>100</es:slidingWindowSize>
+</es:vsDataNodeSynch>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapCommon</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapCommon>
+
+<es:userLabel> </es:userLabel>
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b3ca</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b3cb</es:standbyUniSaalTpRef>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapCommon>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapDedicated</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapDedicated>
+
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b3da</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b3db</es:standbyUniSaalTpRef>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapDedicated>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIubEdch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubEdch>
+
+<es:edchDataFrameDelayThreshold>60</es:edchDataFrameDelayThreshold>
+</es:vsDataIubEdch>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:IubLink>
+<un:IubLink id="4" modifier="create">
+<un:attributes>
+
+</un:attributes>
+</un:IubLink>
+
+<un:IubLink id="4" modifier="update">
+
+<xn:VsDataContainer id="4" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIubLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubLink>
+
+<es:rbsId>4</es:rbsId>
+<es:dlHwAdm>100</es:dlHwAdm>
+<es:ulHwAdm>100</es:ulHwAdm>
+
+<es:preferredSubrackRef>0</es:preferredSubrackRef>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIubLink>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataNodeSynch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNodeSynch>
+
+<es:userLabel> </es:userLabel>
+<es:phaseDiffThreshold>100</es:phaseDiffThreshold>
+
+
+<es:sampleIntervalSup>10</es:sampleIntervalSup>
+<es:fixedWindowSizeSup>10</es:fixedWindowSizeSup>
+<es:slidingWindowSize>100</es:slidingWindowSize>
+</es:vsDataNodeSynch>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapCommon</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapCommon>
+
+<es:userLabel> </es:userLabel>
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4ca</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4cb</es:standbyUniSaalTpRef>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapCommon>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataNbapDedicated</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataNbapDedicated>
+
+<es:l2EstablishReqRetryT>5</es:l2EstablishReqRetryT>
+<es:activeUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4da</es:activeUniSaalTpRef>
+<es:standbyUniSaalTpRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,vsDataTransportNetwork=1,vsDataUniSaalTp=b4db</es:standbyUniSaalTpRef>
+<es:userLabel> </es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+</es:vsDataNbapDedicated>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIubEdch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIubEdch>
+
+<es:edchDataFrameDelayThreshold>60</es:edchDataFrameDelayThreshold>
+</es:vsDataIubEdch>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:IubLink>
+<un:UtranCell id="RNC01-1-1" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-1-1</un:userLabel>
+<un:cId>1</un:cId>
+<un:localCellId>1</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>5</un:uarfcnDl>
+<un:primaryScramblingCode>1</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=1</un:utranCellIubLink>
+<un:lac>3417</un:lac>
+<un:rac>3418</un:rac>
+<un:sac>3419</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-1-1" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-1-1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>1</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=1</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-1-1</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-1-1</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-1-1</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-1-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-1-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-1-1</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-1-2</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-1-2" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-1-2</un:userLabel>
+<un:cId>2</un:cId>
+<un:localCellId>2</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>5</un:uarfcnDl>
+<un:primaryScramblingCode>2</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=1</un:utranCellIubLink>
+<un:lac>3417</un:lac>
+<un:rac>3418</un:rac>
+<un:sac>3420</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-1-2" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-1-2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>2</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=1</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-1-2</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-1-2</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-1-2</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-1-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-1-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-1-2</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-1-3</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-1-3" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-1-3</un:userLabel>
+<un:cId>3</un:cId>
+<un:localCellId>3</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>5</un:uarfcnDl>
+<un:primaryScramblingCode>3</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=1</un:utranCellIubLink>
+<un:lac>3417</un:lac>
+<un:rac>3418</un:rac>
+<un:sac>3421</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-1-3" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-1-3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>3</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=1</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-1-3</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-1-3</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-1-3</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-1-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-1-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-1-3</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-1-1</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-2-1" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-2-1</un:userLabel>
+<un:cId>4</un:cId>
+<un:localCellId>1</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>6</un:uarfcnDl>
+<un:primaryScramblingCode>4</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=2</un:utranCellIubLink>
+<un:lac>3422</un:lac>
+<un:rac>3423</un:rac>
+<un:sac>3424</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-2-1" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-2-1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>1</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=2</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-2-1</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-2-1</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-2-1</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-2-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-2-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-2-1</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-2-2</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-2-2" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-2-2</un:userLabel>
+<un:cId>5</un:cId>
+<un:localCellId>2</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>6</un:uarfcnDl>
+<un:primaryScramblingCode>5</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=2</un:utranCellIubLink>
+<un:lac>3422</un:lac>
+<un:rac>3423</un:rac>
+<un:sac>3425</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-2-2" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-2-2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>2</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=2</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-2-2</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-2-2</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-2-2</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-2-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-2-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-2-2</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-2-3</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-2-3" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-2-3</un:userLabel>
+<un:cId>6</un:cId>
+<un:localCellId>3</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>6</un:uarfcnDl>
+<un:primaryScramblingCode>6</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=2</un:utranCellIubLink>
+<un:lac>3422</un:lac>
+<un:rac>3423</un:rac>
+<un:sac>3426</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-2-3" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-2-3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>3</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=2</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-2-3</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-2-3</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-2-3</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-2-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-2-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-2-3</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-2-1</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-3-1" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-3-1</un:userLabel>
+<un:cId>7</un:cId>
+<un:localCellId>1</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>7</un:uarfcnDl>
+<un:primaryScramblingCode>7</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=3</un:utranCellIubLink>
+<un:lac>3427</un:lac>
+<un:rac>3428</un:rac>
+<un:sac>3429</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-3-1" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-3-1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>1</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=3</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-3-1</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-3-1</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-3-1</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-3-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-3-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-3-1</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-3-2</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-3-2" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-3-2</un:userLabel>
+<un:cId>8</un:cId>
+<un:localCellId>2</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>7</un:uarfcnDl>
+<un:primaryScramblingCode>8</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=3</un:utranCellIubLink>
+<un:lac>3427</un:lac>
+<un:rac>3428</un:rac>
+<un:sac>3430</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-3-2" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-3-2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>2</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=3</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-3-2</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-3-2</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-3-2</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-3-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-3-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-3-2</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-3-3</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-3-3" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-3-3</un:userLabel>
+<un:cId>9</un:cId>
+<un:localCellId>3</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>7</un:uarfcnDl>
+<un:primaryScramblingCode>9</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=3</un:utranCellIubLink>
+<un:lac>3427</un:lac>
+<un:rac>3428</un:rac>
+<un:sac>3431</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-3-3" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-3-3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>3</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=3</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-3-3</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-3-3</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-3-3</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-3-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-3-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-3-3</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-3-1</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-4-1" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-4-1</un:userLabel>
+<un:cId>10</un:cId>
+<un:localCellId>1</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>8</un:uarfcnDl>
+<un:primaryScramblingCode>10</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=4</un:utranCellIubLink>
+<un:lac>3432</un:lac>
+<un:rac>3433</un:rac>
+<un:sac>3434</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-4-1" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-4-1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>1</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=4</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-4-1</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-4-1</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-4-1</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-4-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-4-1</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-4-1</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-4-2</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-4-2" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-4-2</un:userLabel>
+<un:cId>11</un:cId>
+<un:localCellId>2</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>8</un:uarfcnDl>
+<un:primaryScramblingCode>11</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=4</un:utranCellIubLink>
+<un:lac>3432</un:lac>
+<un:rac>3433</un:rac>
+<un:sac>3435</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-4-2" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=1</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=2</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-4-2" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>2</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=4</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-4-2</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-4-2</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-4-2</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-4-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-4-2</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-4-2</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-4-3</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<un:UtranCell id="RNC01-4-3" modifier="create">
+<un:attributes>
+
+<un:userLabel>RNC01-4-3</un:userLabel>
+<un:cId>12</un:cId>
+<un:localCellId>3</un:localCellId>
+<un:uarfcnUl>12</un:uarfcnUl>
+<un:uarfcnDl>8</un:uarfcnDl>
+<un:primaryScramblingCode>12</un:primaryScramblingCode>
+<un:primaryCpichPower>300</un:primaryCpichPower>
+<un:maximumTransmissionPower>400</un:maximumTransmissionPower>
+<un:primarySchPower>-18</un:primarySchPower>
+<un:secondarySchPower>-35</un:secondarySchPower>
+<un:bchPower>-31</un:bchPower>
+<un:utranCellIubLink>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=4</un:utranCellIubLink>
+<un:lac>3432</un:lac>
+<un:rac>3433</un:rac>
+<un:sac>3436</un:sac>
+</un:attributes>
+</un:UtranCell>
+
+<un:UtranCell id="RNC01-4-3" modifier="update">
+
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>1</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>2</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>3</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>4</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>5</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>6</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>7</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>8</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>9</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>10</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="11" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>11</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="12" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>12</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="13" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>13</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="14" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>14</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="15" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>15</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="16" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>16</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="17" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>17</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="18" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>18</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="19" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>19</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="20" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>20</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="21" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>21</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="22" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>22</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="23" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>23</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="24" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>24</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="25" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>25</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="26" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>26</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="27" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>27</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="28" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>28</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="29" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>29</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="30" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranRelation>
+
+<es:qOffset1sn>0</es:qOffset1sn>
+<es:qOffset2sn>0</es:qOffset2sn>
+<es:loadSharingCandidate>0</es:loadSharingCandidate>
+<es:selectionPriority>30</es:selectionPriority>
+
+<es:hcsSib11Config>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs>
+<es:penaltyTime>0</es:penaltyTime>
+<es:temporaryOffset1>0</es:temporaryOffset1>
+<es:temporaryOffset2>0</es:temporaryOffset2></es:hcsSib11Config>
+</es:vsDataUtranRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>31</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=3</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>32</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=4</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>33</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=5</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>34</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=6</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>35</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=7</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>36</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=8</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>37</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=9</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>38</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50101</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>39</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50102</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataGsmRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataGsmRelation>
+
+<es:qOffset1sn>7</es:qOffset1sn>
+<es:mobilityRelationType>0</es:mobilityRelationType>
+<es:selectionPriority>40</es:selectionPriority>
+<es:externalGsmCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,ExternalGsmNetwork=84,ExternalGsmCell=50103</es:externalGsmCellRef>
+</es:vsDataGsmRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="RNC01-4-3" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranCell</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranCell>
+
+<es:tCell>3</es:tCell>
+<es:cellReserved>1</es:cellReserved>
+<es:treSelection>2</es:treSelection>
+<es:qualMeasQuantity>2</es:qualMeasQuantity>
+<es:qHyst1>4</es:qHyst1>
+<es:qHyst2>4</es:qHyst2>
+<es:qQualMin>-24</es:qQualMin>
+<es:qRxLevMin>-115</es:qRxLevMin>
+<es:individualOffset>0</es:individualOffset>
+<es:pwrAdm>75</es:pwrAdm>
+<es:pwrOffset>5</es:pwrOffset>
+<es:pwrHyst>300</es:pwrHyst>
+<es:tmCongAction>2000</es:tmCongAction>
+<es:releaseAseDl>1</es:releaseAseDl>
+<es:aseDlAdm>240</es:aseDlAdm>
+<es:dlCodeAdm>80</es:dlCodeAdm>
+<es:aseUlAdm>160</es:aseUlAdm>
+<es:sf8Adm>8</es:sf8Adm>
+<es:sf32Adm>32</es:sf32Adm>
+<es:minPwrRl>-150</es:minPwrRl>
+<es:maxRate>40690</es:maxRate>
+<es:interRate>7760</es:interRate>
+<es:minimumRate>1590</es:minimumRate>
+<es:maxPwrMax>48</es:maxPwrMax>
+<es:interPwrMax>38</es:interPwrMax>
+<es:minPwrMax>0</es:minPwrMax>
+<es:compModeAdm>15</es:compModeAdm>
+<es:iFHyst>6000</es:iFHyst>
+<es:iFCong>621</es:iFCong>
+<es:interFreqFddMeasIndicator>0</es:interFreqFddMeasIndicator>
+<es:sRatSearch>4</es:sRatSearch>
+<es:sIntraSearch>0</es:sIntraSearch>
+<es:sInterSearch>0</es:sInterSearch>
+<es:fachMeasOccaCycLenCoeff>0</es:fachMeasOccaCycLenCoeff>
+
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:accessClassNBarred>0</es:accessClassNBarred>
+<es:accessClassNBarred>1</es:accessClassNBarred>
+<es:utranCellPosition></es:utranCellPosition>
+<es:maxTxPowerUl>24</es:maxTxPowerUl>
+
+<es:sib1PlmnScopeValueTag>0</es:sib1PlmnScopeValueTag>
+<es:sf16Adm>16</es:sf16Adm>
+<es:hoType>1</es:hoType>
+<es:usedFreqThresh2dEcno>-12</es:usedFreqThresh2dEcno>
+<es:usedFreqThresh2dRscp>-97</es:usedFreqThresh2dRscp>
+<es:administrativeState>0</es:administrativeState>
+<es:loadSharingGsmThreshold>75</es:loadSharingGsmThreshold>
+<es:loadSharingGsmFraction>100</es:loadSharingGsmFraction>
+<es:nInSyncInd>3</es:nInSyncInd>
+<es:rlFailureT>10</es:rlFailureT>
+<es:nOutSyncInd>10</es:nOutSyncInd>
+<es:sf4AdmUl>0</es:sf4AdmUl>
+<es:hardIfhoCorr>3</es:hardIfhoCorr>
+<es:hsdpaUsersAdm>10</es:hsdpaUsersAdm>
+<es:loadSharingMargin>0</es:loadSharingMargin>
+<es:sHcsRat>-105</es:sHcsRat>
+<es:sf16gAdm>16</es:sf16gAdm>
+<es:releaseAseDlNg>3</es:releaseAseDlNg>
+<es:tmCongActionNg>800</es:tmCongActionNg>
+<es:tmInitialG>3000</es:tmInitialG>
+<es:sf16AdmUl>16</es:sf16AdmUl>
+<es:sf8AdmUl>8</es:sf8AdmUl>
+<es:sf8gAdmUl>0</es:sf8gAdmUl>
+<es:iubLinkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,IubLink=4</es:iubLinkRef>
+<es:eulNonServingCellUsersAdm>100</es:eulNonServingCellUsersAdm>
+<es:eulServingCellUsersAdm>32</es:eulServingCellUsersAdm>
+<es:agpsEnabled>1</es:agpsEnabled>
+<es:codeLoadThresholdDlSf128>100</es:codeLoadThresholdDlSf128>
+<es:pwrLoadThresholdDlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:pwrLoadThresholdDlSpeech>
+<es:aseLoadThresholdUlSpeech>
+<es:amr12200>100</es:amr12200>
+<es:amr7950>100</es:amr7950>
+<es:amr5900>100</es:amr5900></es:aseLoadThresholdUlSpeech>
+
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>0</es:accessClassesBarredCs>
+<es:accessClassesBarredCs>1</es:accessClassesBarredCs>
+
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>0</es:accessClassesBarredPs>
+<es:accessClassesBarredPs>1</es:accessClassesBarredPs>
+<es:rateSelectionPsInteractive>
+<es:channelType>0</es:channelType>
+<es:ulPrefRate>64</es:ulPrefRate>
+<es:dlPrefRate>64</es:dlPrefRate></es:rateSelectionPsInteractive>
+<es:hcsUsage>
+<es:idleMode>0</es:idleMode>
+<es:connectedMode>0</es:connectedMode></es:hcsUsage>
+<es:hcsSib3Config>
+<es:sSearchHcs>-105</es:sSearchHcs>
+<es:hcsPrio>0</es:hcsPrio>
+<es:qHcs>0</es:qHcs></es:hcsSib3Config>
+</es:vsDataUtranCell>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataFach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataFach>
+
+<es:userLabel>Fach-4-3</es:userLabel>
+<es:maxFach1Power>18</es:maxFach1Power>
+<es:maxFach2Power>15</es:maxFach2Power>
+<es:sccpchOffset>0</es:sccpchOffset>
+<es:pOffset1Fach>0</es:pOffset1Fach>
+<es:pOffset3Fach>0</es:pOffset3Fach>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataFach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRach</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRach>
+
+<es:userLabel>Rach-4-3</es:userLabel>
+<es:scramblingCodeWordNo>0</es:scramblingCodeWordNo>
+<es:preambleSignatures>65535</es:preambleSignatures>
+<es:subChannelNo>4095</es:subChannelNo>
+<es:aichTransmissionTiming>4</es:aichTransmissionTiming>
+<es:aichPower>-6</es:aichPower>
+<es:powerOffsetP0>3</es:powerOffsetP0>
+<es:powerOffsetPpm>-4</es:powerOffsetPpm>
+<es:preambleRetransMax>5</es:preambleRetransMax>
+<es:maxPreambleCycle>4</es:maxPreambleCycle>
+<es:constantValueCprach>-27</es:constantValueCprach>
+<es:spreadingFactor>64</es:spreadingFactor>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataRach>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataPch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPch>
+
+<es:userLabel>Pch-4-3</es:userLabel>
+<es:pchPower>-4</es:pchPower>
+<es:pichPower>-7</es:pichPower>
+<es:sccpchOffset>20</es:sccpchOffset>
+<es:administrativeState>1</es:administrativeState>
+</es:vsDataPch>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-4-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHsdsch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHsdsch>
+
+<es:administrativeState>0</es:administrativeState>
+<es:userLabel>Hsdsch-4-3</es:userLabel>
+<es:numHsPdschCodes>5</es:numHsPdschCodes>
+<es:deltaAck1>4</es:deltaAck1>
+<es:deltaNack1>4</es:deltaNack1>
+<es:deltaAck2>8</es:deltaAck2>
+<es:deltaNack2>8</es:deltaNack2>
+<es:deltaCqi1>4</es:deltaCqi1>
+<es:deltaCqi2>8</es:deltaCqi2>
+<es:initialCqiRepetitionFactor>1</es:initialCqiRepetitionFactor>
+<es:initialAckNackRepetitionFactor>1</es:initialAckNackRepetitionFactor>
+<es:cqiFeedbackCycle>8</es:cqiFeedbackCycle>
+<es:hsMeasurementPowerOffset>0</es:hsMeasurementPowerOffset>
+<es:codeThresholdPdu656>6</es:codeThresholdPdu656>
+<es:numHsScchCodes>1</es:numHsScchCodes>
+</es:vsDataHsdsch>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataEul</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataEul>
+
+<es:userLabel>Eul-4-3</es:userLabel>
+<es:administrativeState>0</es:administrativeState>
+<es:numEagchCodes>1</es:numEagchCodes>
+<es:numEhichErgchCodes>1</es:numEhichErgchCodes>
+<es:eulMaxTargetRtwp>-499</es:eulMaxTargetRtwp>
+</es:vsDataEul>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCoverageRelation</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCoverageRelation>
+
+<es:utranCellRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,UtranCell=RNC01-4-1</es:utranCellRef>
+<es:coverageIndicator>1</es:coverageIndicator>
+<es:hsPathlossThreshold>170</es:hsPathlossThreshold>
+</es:vsDataCoverageRelation>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer></un:UtranCell>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataRncFunction</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRncFunction>
+
+<es:mncLength>2</es:mncLength>
+<es:emergencyCallRedirect>0</es:emergencyCallRedirect>
+<es:loadSharingDirRetryEnabled>0</es:loadSharingDirRetryEnabled>
+<es:hsCellChangeAllowed>0</es:hsCellChangeAllowed>
+<es:hsOnlyBestCell>1</es:hsOnlyBestCell>
+
+<es:aliasPlmnIdentities>
+<es:mcc>353</es:mcc>
+<es:mnc>77</es:mnc>
+<es:mncLength>2</es:mncLength></es:aliasPlmnIdentities>
+<es:counterAlarmCeaseLimit>95</es:counterAlarmCeaseLimit>
+<es:counterAlarmThreshold>80</es:counterAlarmThreshold>
+<es:counterWarningAlarmCeaseLimit>5</es:counterWarningAlarmCeaseLimit>
+<es:ctrFileSize>5000</es:ctrFileSize>
+<es:gpehDataLevel>0</es:gpehDataLevel>
+<es:gpehFileSize>15000</es:gpehFileSize>
+<es:loadSharingRrcEnabled>0</es:loadSharingRrcEnabled>
+<es:uetrFileSize>275</es:uetrFileSize>
+<es:networkResourceIdentifierLengthCs>0</es:networkResourceIdentifierLengthCs>
+<es:networkResourceIdentifierLengthPs>0</es:networkResourceIdentifierLengthPs>
+<es:hsToDchTrigger>
+<es:servHsChangeInterRnc>0</es:servHsChangeInterRnc>
+<es:servHsChangeIntraRnc>0</es:servHsChangeIntraRnc>
+<es:changeOfBestCellIntraRnc>0</es:changeOfBestCellIntraRnc>
+<es:poorQualityDetected>0</es:poorQualityDetected>
+<es:changeOfBestCellInterRnc>0</es:changeOfBestCellInterRnc></es:hsToDchTrigger>
+<es:ecLocationAttemptUmts>0</es:ecLocationAttemptUmts>
+<es:ecCnSbhoRequestIgnore>0</es:ecCnSbhoRequestIgnore>
+<es:ecCnPriorityLevel>7</es:ecCnPriorityLevel>
+<es:ecSbhoTimer>6</es:ecSbhoTimer>
+<es:primaryCnOperatorRef>0</es:primaryCnOperatorRef>
+</es:vsDataRncFunction>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUePositioning</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUePositioning>
+
+<es:enabledPositioningFeatures>0</es:enabledPositioningFeatures>
+</es:vsDataUePositioning>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUeMeasControl</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUeMeasControl>
+
+<es:userLabel> </es:userLabel>
+<es:reportingRange1a>6</es:reportingRange1a>
+<es:reportingRange1b>10</es:reportingRange1b>
+<es:hysteresis1c>2</es:hysteresis1c>
+<es:hysteresis1d>15</es:hysteresis1d>
+<es:hysteresis2d>0</es:hysteresis2d>
+<es:hysteresis2f>0</es:hysteresis2f>
+<es:gsmThresh3a>-102</es:gsmThresh3a>
+<es:hysteresis3a>0</es:hysteresis3a>
+<es:measQuantity1>2</es:measQuantity1>
+<es:ueTxPowerThresh6a>21</es:ueTxPowerThresh6a>
+<es:ueTxPowerThresh6b>18</es:ueTxPowerThresh6b>
+<es:usedFreqThresh2dEcnoDrnc>-12</es:usedFreqThresh2dEcnoDrnc>
+<es:usedFreqThresh2dRscpDrnc>-97</es:usedFreqThresh2dRscpDrnc>
+<es:usedFreqRelThresh2fEcno>1</es:usedFreqRelThresh2fEcno>
+<es:usedFreqRelThresh2fRscp>3</es:usedFreqRelThresh2fRscp>
+<es:hyst4_2b>10</es:hyst4_2b>
+<es:nonUsedFreqThresh4_2bEcno>-11</es:nonUsedFreqThresh4_2bEcno>
+<es:nonUsedFreqThresh4_2bRscp>-94</es:nonUsedFreqThresh4_2bRscp>
+<es:timeToTrigger1a>11</es:timeToTrigger1a>
+<es:hysteresis1a>0</es:hysteresis1a>
+<es:timeToTrigger1b>12</es:timeToTrigger1b>
+<es:hysteresis1b>0</es:hysteresis1b>
+<es:timeToTrigger1c>11</es:timeToTrigger1c>
+<es:timeToTrigger1d>14</es:timeToTrigger1d>
+<es:timeToTrigger3a>6</es:timeToTrigger3a>
+<es:reportingInterval1a>3</es:reportingInterval1a>
+<es:reportingInterval1c>3</es:reportingInterval1c>
+<es:timeTrigg6a>320</es:timeTrigg6a>
+<es:timeTrigg6b>1280</es:timeTrigg6b>
+<es:timeTrigg4_2b>100</es:timeTrigg4_2b>
+<es:hsHysteresis1d>10</es:hsHysteresis1d>
+<es:hsQualityEstimate>1</es:hsQualityEstimate>
+<es:hsTimeToTrigger1d>640</es:hsTimeToTrigger1d>
+<es:filterCoefficient1>2</es:filterCoefficient1>
+<es:filterCoefficient2>2</es:filterCoefficient2>
+<es:utranFilterCoefficient3>2</es:utranFilterCoefficient3>
+<es:gsmFilterCoefficient3>1</es:gsmFilterCoefficient3>
+<es:filterCoeff6>19</es:filterCoeff6>
+<es:filterCoeff4_2b>2</es:filterCoeff4_2b>
+<es:w1a>0</es:w1a>
+<es:w1b>0</es:w1b>
+<es:usedFreqW2d>0</es:usedFreqW2d>
+<es:usedFreqW2f>0</es:usedFreqW2f>
+<es:usedFreqW4_2b>0</es:usedFreqW4_2b>
+<es:utranW3a>0</es:utranW3a>
+<es:nonUsedFreqW4_2b>0</es:nonUsedFreqW4_2b>
+<es:timeToTrigger2dEcno>320</es:timeToTrigger2dEcno>
+<es:timeToTrigger2fEcno>1280</es:timeToTrigger2fEcno>
+<es:utranRelThresh3aEcno>-1</es:utranRelThresh3aEcno>
+<es:utranRelThresh3aRscp>-3</es:utranRelThresh3aRscp>
+<es:usedFreqRelThresh4_2bEcno>-1</es:usedFreqRelThresh4_2bEcno>
+<es:usedFreqRelThresh4_2bRscp>-3</es:usedFreqRelThresh4_2bRscp>
+<es:timeToTrigger2dRscp>320</es:timeToTrigger2dRscp>
+<es:timeToTrigger2fRscp>1280</es:timeToTrigger2fRscp>
+<es:utranRelThreshRscp>5</es:utranRelThreshRscp>
+<es:event1dRncThreshold>4</es:event1dRncThreshold>
+<es:event1dRncOffset>0</es:event1dRncOffset>
+<es:timeToTrigger6d>320</es:timeToTrigger6d>
+<es:txPowerConnQualMonEnabled>1</es:txPowerConnQualMonEnabled>
+</es:vsDataUeMeasControl>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSid</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataSid>
+
+<es:updateCellReattsNo>5</es:updateCellReattsNo>
+<es:noOfMaxDrxCycles>1</es:noOfMaxDrxCycles>
+<es:noOfMibValueTagRetrans>0</es:noOfMibValueTagRetrans>
+<es:sib1>
+<es:sib1RepPeriod>32</es:sib1RepPeriod>
+<es:sib1StartPos>4</es:sib1StartPos></es:sib1>
+<es:sib11>
+<es:sib11RepPeriod>128</es:sib11RepPeriod>
+<es:sib11StartPos>20</es:sib11StartPos></es:sib11>
+<es:sib12>
+<es:sib12RepPeriod>32</es:sib12RepPeriod>
+<es:sib12StartPos>14</es:sib12StartPos></es:sib12>
+<es:sib3>
+<es:sib3RepPeriod>16</es:sib3RepPeriod>
+<es:sib3StartPos>2</es:sib3StartPos></es:sib3>
+<es:sib5>
+<es:sib5RepPeriod>32</es:sib5RepPeriod>
+<es:sib5StartPos>6</es:sib5StartPos></es:sib5>
+<es:sib7>
+<es:sib7RepPeriod>16</es:sib7RepPeriod>
+<es:sib7StartPos>2</es:sib7StartPos></es:sib7>
+<es:sib7ExpirationTimeFactor>1</es:sib7ExpirationTimeFactor>
+<es:sib2>
+<es:sib2RepPeriod>128</es:sib2RepPeriod>
+<es:sib2StartPos>118</es:sib2StartPos></es:sib2>
+</es:vsDataSid>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataSecurityHandling</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataSecurityHandling>
+
+<es:ciphering>1</es:ciphering>
+</es:vsDataSecurityHandling>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataRrc</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRrc>
+
+<es:t305>3</es:t305>
+</es:vsDataRrc>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataRcs</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRcs>
+
+<es:dchRcLostT>50</es:dchRcLostT>
+<es:cchWaitCuT>9</es:cchWaitCuT>
+<es:hsDschRcLostT>100</es:hsDschRcLostT>
+</es:vsDataRcs>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataRabHandling</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRabHandling>
+
+<es:psStreamingInactivityTimer>30</es:psStreamingInactivityTimer>
+<es:activeQueueMgmt>0</es:activeQueueMgmt>
+<es:dscpValuePsStreaming>18</es:dscpValuePsStreaming>
+<es:state128_128Supported>0</es:state128_128Supported>
+</es:vsDataRabHandling>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataPowerControl</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPowerControl>
+
+<es:cBackOff>0</es:cBackOff>
+<es:ulOuterLoopRegulator>1</es:ulOuterLoopRegulator>
+<es:ulSirStep>10</es:ulSirStep>
+<es:sirMin>-82</es:sirMin>
+<es:sirMax>100</es:sirMax>
+<es:dlPcMethod>3</es:dlPcMethod>
+<es:cPO>0</es:cPO>
+<es:pO1>0</es:pO1>
+<es:pO2>12</es:pO2>
+<es:pO3>12</es:pO3>
+<es:ecNoPcpichDefault>-16</es:ecNoPcpichDefault>
+<es:pcpichPowerDefault>33</es:pcpichPowerDefault>
+<es:fixedPowerDl>65</es:fixedPowerDl>
+<es:cNbifho>10</es:cNbifho>
+<es:fixedRefPower>65</es:fixedRefPower>
+<es:initShoPowerParam>-2</es:initShoPowerParam>
+<es:dlInitSirTarget>41</es:dlInitSirTarget>
+<es:ulInitSirTargetExtraHigh>92</es:ulInitSirTargetExtraHigh>
+<es:ulInitSirTargetHigh>82</es:ulInitSirTargetHigh>
+<es:ulInitSirTargetLow>49</es:ulInitSirTargetLow>
+<es:ulInitSirTargetSrb>57</es:ulInitSirTargetSrb>
+<es:transmissionTargetError>10</es:transmissionTargetError>
+<es:ulInitSirTargetEdch>70</es:ulInitSirTargetEdch>
+</es:vsDataPowerControl>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataPaging</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataPaging>
+
+<es:cnDrxCycleLengthCs>6</es:cnDrxCycleLengthCs>
+<es:cnDrxCycleLengthPs>7</es:cnDrxCycleLengthPs>
+<es:noOfPagingRecordTransm>2</es:noOfPagingRecordTransm>
+<es:utranDrxCycleLength>5</es:utranDrxCycleLength>
+</es:vsDataPaging>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataHandover</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataHandover>
+
+<es:maxActiveSet>3</es:maxActiveSet>
+<es:fddGsmHOSupp>0</es:fddGsmHOSupp>
+<es:selHoSup>0</es:selHoSup>
+<es:timeReleaseIuPs>10</es:timeReleaseIuPs>
+<es:gsmAmountPropRepeat>4</es:gsmAmountPropRepeat>
+<es:gsmPropRepeatInterval>5</es:gsmPropRepeatInterval>
+<es:ifhoPropRepeatInterval>5</es:ifhoPropRepeatInterval>
+<es:ifhoAmountPropRepeat>4</es:ifhoAmountPropRepeat>
+<es:fddIfhoSupp>0</es:fddIfhoSupp>
+<es:releaseConnOffset>120</es:releaseConnOffset>
+<es:maxGsmMonSubset>32</es:maxGsmMonSubset>
+<es:maxIefMonSubset>32</es:maxIefMonSubset>
+<es:cnhhoSupp>0</es:cnhhoSupp>
+<es:interFreqCnhhoPenaltyEcno>30</es:interFreqCnhhoPenaltyEcno>
+<es:interFreqCnhhoPenaltyRscp>30</es:interFreqCnhhoPenaltyRscp>
+<es:intraFreqCnhhoPenalty>30</es:intraFreqCnhhoPenalty>
+<es:intraFreqCnhhoWeight>0</es:intraFreqCnhhoWeight>
+<es:tmStopGsmMeas>20</es:tmStopGsmMeas>
+<es:eulReservedHwBandwidthSchedDataNonServCell>128</es:eulReservedHwBandwidthSchedDataNonServCell>
+</es:vsDataHandover>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataChannelSwitching</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataChannelSwitching>
+
+<es:dlRlcBufUpswitch>500</es:dlRlcBufUpswitch>
+<es:ulRlcBufUpswitch>256</es:ulRlcBufUpswitch>
+<es:downswitchThreshold>0</es:downswitchThreshold>
+<es:downswitchTimerThreshold>0</es:downswitchTimerThreshold>
+<es:downswitchTimer>10</es:downswitchTimer>
+<es:dlRlcBufUpswitchMrab>0</es:dlRlcBufUpswitchMrab>
+<es:ulRlcBufUpswitchMrab>8</es:ulRlcBufUpswitchMrab>
+<es:upswitchTimer>5</es:upswitchTimer>
+<es:coverageTimer>10</es:coverageTimer>
+<es:inactivityTimer>120</es:inactivityTimer>
+<es:downswitchPwrMargin>2</es:downswitchPwrMargin>
+<es:reportHysteresis>6</es:reportHysteresis>
+<es:upswitchPwrMargin>6</es:upswitchPwrMargin>
+<es:downswitchTimerUp>60</es:downswitchTimerUp>
+<es:downswitchTimerSp>2</es:downswitchTimerSp>
+<es:hsdschInactivityTimer>2</es:hsdschInactivityTimer>
+<es:bandwidthMarginUl>0</es:bandwidthMarginUl>
+<es:upswitchTimerUl>5</es:upswitchTimerUl>
+<es:bandwidthMargin>90</es:bandwidthMargin>
+<es:dlDownswitchBandwidthMargin>0</es:dlDownswitchBandwidthMargin>
+<es:dlThroughputAllowUpswitchThreshold>0</es:dlThroughputAllowUpswitchThreshold>
+<es:dlThroughputDownswitchTimer>20</es:dlThroughputDownswitchTimer>
+<es:inactivityTimerPch>30</es:inactivityTimerPch>
+<es:ulThroughputAllowUpswitchThreshold>0</es:ulThroughputAllowUpswitchThreshold>
+<es:ulThroughputDownswitchTimer>20</es:ulThroughputDownswitchTimer>
+<es:ulDownswitchBandwidthMargin>0</es:ulDownswitchBandwidthMargin>
+<es:inactivityTimeMultiPsInteractive>50</es:inactivityTimeMultiPsInteractive>
+</es:vsDataChannelSwitching>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataCchFrameSynch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCchFrameSynch>
+
+<es:dto>10</es:dto>
+<es:doStep>1</es:doStep>
+<es:toAWS>30</es:toAWS>
+<es:toAWE>2</es:toAWE>
+<es:toAE>195</es:toAE>
+</es:vsDataCchFrameSynch>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataDchFrameSynch</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataDchFrameSynch>
+
+<es:dto>10</es:dto>
+<es:doStep>1</es:doStep>
+<es:toAWS>30</es:toAWS>
+<es:toAWE>2</es:toAWE>
+<es:toAE>195</es:toAE>
+<es:uto>10</es:uto>
+<es:uoStep>1</es:uoStep>
+<es:toAWEUl>2</es:toAWEUl>
+<es:toAEUl>95</es:toAEUl>
+<es:userLabel> </es:userLabel>
+<es:toAWSUl>40</es:toAWSUl>
+</es:vsDataDchFrameSynch>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataRncModule</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataRncModule>
+
+<es:userLabel> </es:userLabel>
+</es:vsDataRncModule>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUtranNetwork</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUtranNetwork>
+
+<es:userLabel> </es:userLabel>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:plmnIdentity>
+<es:mcc>353</es:mcc>
+<es:mnc>77</es:mnc>
+<es:mncLength>2</es:mncLength></es:plmnIdentity>
+</es:vsDataUtranNetwork>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>77</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>2</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>77</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>3</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>77</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>4</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="96" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>96</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>96</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="96" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>96</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>96</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="97" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>97</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>97</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="97" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>97</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>97</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="98" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>98</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>98</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="98" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>98</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>98</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="99" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>99</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>99</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="99" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataIurLink</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataIurLink>
+
+<es:userLabel> </es:userLabel>
+<es:mcc>353</es:mcc>
+<es:mnc>99</es:mnc>
+<es:mncLength>2</es:mncLength>
+<es:rncId>99</es:rncId>
+<es:aliasPlmnIdentities></es:aliasPlmnIdentities>
+<es:utranNetworkRef>SubNetwork=WEOSSRC1_ROOT_MO_R,SubNetwork=RNC33,MeContext=SRNC001,ManagedElement=1,RncFunction=1,vsDataUtranNetwork=1</es:utranNetworkRef>
+<es:cellCapabilityControl>
+<es:hsdschSupport>0</es:hsdschSupport>
+<es:edchSupport>0</es:edchSupport></es:cellCapabilityControl>
+<es:hspaPathlossThreshold>170</es:hspaPathlossThreshold>
+<es:userPlaneTransportOption>
+<es:atm>0</es:atm>
+<es:ipv4>0</es:ipv4></es:userPlaneTransportOption>
+</es:vsDataIurLink>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura1</es:userLabel>
+<es:uraIdentity>1</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura2</es:userLabel>
+<es:uraIdentity>2</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura3</es:userLabel>
+<es:uraIdentity>3</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura4</es:userLabel>
+<es:uraIdentity>4</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="5" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura5</es:userLabel>
+<es:uraIdentity>5</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="6" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura6</es:userLabel>
+<es:uraIdentity>6</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="7" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura7</es:userLabel>
+<es:uraIdentity>7</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="8" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura8</es:userLabel>
+<es:uraIdentity>8</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="9" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura9</es:userLabel>
+<es:uraIdentity>9</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="10" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUra</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUra>
+
+<es:userLabel>Ura10</es:userLabel>
+<es:uraIdentity>10</es:uraIdentity>
+</es:vsDataUra>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCnOperator</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCnOperator>
+
+<es:userLabel> </es:userLabel>
+<es:plmnIdentity>
+<es:mcc>1</es:mcc>
+<es:mnc>1</es:mnc>
+<es:mncLength>2</es:mncLength></es:plmnIdentity>
+<es:iphoNetworkRefsUtran></es:iphoNetworkRefsUtran>
+<es:iphoNetworkRefsGsm></es:iphoNetworkRefsGsm>
+<es:equivalentPlmnIdentities></es:equivalentPlmnIdentities>
+</es:vsDataCnOperator>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataWcdmaCarrier</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataWcdmaCarrier>
+
+<es:userLabel> </es:userLabel>
+<es:defaultHoType>1</es:defaultHoType>
+<es:freqBand>0</es:freqBand>
+<es:sib5bisEnabled>0</es:sib5bisEnabled>
+<es:uarfcnDl>0</es:uarfcnDl>
+</es:vsDataWcdmaCarrier>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCnOperator</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCnOperator>
+
+<es:userLabel> </es:userLabel>
+<es:plmnIdentity>
+<es:mcc>1</es:mcc>
+<es:mnc>1</es:mnc>
+<es:mncLength>2</es:mncLength></es:plmnIdentity>
+<es:iphoNetworkRefsUtran></es:iphoNetworkRefsUtran>
+<es:iphoNetworkRefsGsm></es:iphoNetworkRefsGsm>
+<es:equivalentPlmnIdentities></es:equivalentPlmnIdentities>
+</es:vsDataCnOperator>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="2" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataWcdmaCarrier</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataWcdmaCarrier>
+
+<es:userLabel> </es:userLabel>
+<es:defaultHoType>1</es:defaultHoType>
+<es:freqBand>0</es:freqBand>
+<es:sib5bisEnabled>0</es:sib5bisEnabled>
+<es:uarfcnDl>0</es:uarfcnDl>
+</es:vsDataWcdmaCarrier>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCnOperator</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCnOperator>
+
+<es:userLabel> </es:userLabel>
+<es:plmnIdentity>
+<es:mcc>1</es:mcc>
+<es:mnc>1</es:mnc>
+<es:mncLength>2</es:mncLength></es:plmnIdentity>
+<es:iphoNetworkRefsUtran></es:iphoNetworkRefsUtran>
+<es:iphoNetworkRefsGsm></es:iphoNetworkRefsGsm>
+<es:equivalentPlmnIdentities></es:equivalentPlmnIdentities>
+</es:vsDataCnOperator>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="3" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataWcdmaCarrier</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataWcdmaCarrier>
+
+<es:userLabel> </es:userLabel>
+<es:defaultHoType>1</es:defaultHoType>
+<es:freqBand>0</es:freqBand>
+<es:sib5bisEnabled>0</es:sib5bisEnabled>
+<es:uarfcnDl>0</es:uarfcnDl>
+</es:vsDataWcdmaCarrier>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataCnOperator</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataCnOperator>
+
+<es:userLabel> </es:userLabel>
+<es:plmnIdentity>
+<es:mcc>1</es:mcc>
+<es:mnc>1</es:mnc>
+<es:mncLength>2</es:mncLength></es:plmnIdentity>
+<es:iphoNetworkRefsUtran></es:iphoNetworkRefsUtran>
+<es:iphoNetworkRefsGsm></es:iphoNetworkRefsGsm>
+<es:equivalentPlmnIdentities></es:equivalentPlmnIdentities>
+</es:vsDataCnOperator>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="4" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataWcdmaCarrier</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataWcdmaCarrier>
+
+<es:userLabel> </es:userLabel>
+<es:defaultHoType>1</es:defaultHoType>
+<es:freqBand>0</es:freqBand>
+<es:sib5bisEnabled>0</es:sib5bisEnabled>
+<es:uarfcnDl>0</es:uarfcnDl>
+</es:vsDataWcdmaCarrier>
+</xn:attributes>
+</xn:VsDataContainer><xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUeRc</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+<es:vsDataUeRc>
+
+<es:userLabel> </es:userLabel>
+<es:serviceOffset2dEcno>0</es:serviceOffset2dEcno>
+<es:serviceOffset2dRscp>0</es:serviceOffset2dRscp>
+</es:vsDataUeRc></xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="update">
+<xn:attributes>
+<xn:vsDataType>vsDataUeRc</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUeRc>
+
+<es:userLabel> </es:userLabel>
+<es:serviceOffset2dEcno>0</es:serviceOffset2dEcno>
+<es:serviceOffset2dRscp>0</es:serviceOffset2dRscp>
+</es:vsDataUeRc>
+</xn:attributes>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUeRcTrCh</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUeRcTrCh>
+
+<es:blerQualityTargetDl>-63</es:blerQualityTargetDl>
+<es:blerQualityTargetUl>-63</es:blerQualityTargetUl>
+</es:vsDataUeRcTrCh>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUeRcRab</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUeRcRab>
+
+<es:fcState>0</es:fcState>
+<es:trBearerQosClass>0</es:trBearerQosClass>
+</es:vsDataUeRcRab>
+</xn:attributes>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUeRcRrc</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUeRcRrc>
+
+<es:trBearerQosClass>0</es:trBearerQosClass>
+</es:vsDataUeRcRrc>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+<xn:VsDataContainer id="1" modifier="create">
+<xn:attributes>
+<xn:vsDataType>vsDataUeRabType</xn:vsDataType>
+<xn:vsDataFormatVersion>EricssonSpecificAttributes.5.1</xn:vsDataFormatVersion>
+
+<es:vsDataUeRabType>
+
+<es:trBearerQosClass>0</es:trBearerQosClass>
+</es:vsDataUeRabType>
+</xn:attributes>
+</xn:VsDataContainer>
+</xn:VsDataContainer>
+</un:RncFunction>
+</xn:ManagedElement></xn:MeContext>
+</xn:SubNetwork>
+</xn:SubNetwork>
+</configData>
+ <fileFooter dateTime="2001-05-07T12:00:00+02:00"></fileFooter>
+</bulkCmConfigDataFile> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/utranNrm.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/utranNrm.xsd
new file mode 100644
index 0000000000..1d411b31dd
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/netsim/utranNrm.xsd
@@ -0,0 +1,174 @@
+<!--
+ 3GPP TS 32.615 v4.5 Bulk CM IRP
+ Configuration data file UTRAN Network Resources IRP NRM XML schema
+ To be used with WEGA Bucket 2.1 onwards
+-->
+<schema xmlns:gn="geranNrm.xsd" xmlns:un="utranNrm.xsd" xmlns:xn="genericNrm.xsd" xmlns="http://www.w3.org/2001/XMLSchema" targetNamespace="utranNrm.xsd" elementFormDefault="qualified" attributeFormDefault="unqualified">
+ <!-- UTRAN Network Resources IRP NRM class associated XML elements -->
+ <import namespace="genericNrm.xsd" schemaLocation="genericNrm.xsd"/>
+ <import namespace="geranNrm.xsd" schemaLocation="geranNrm.xsd"/>
+ <element name="RncFunction">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="rncId" minOccurs="0"/>
+ <!--
+ <element name="mncLength" minOccurs="0"/>
+ -->
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="un:UtranCell"/>
+ <element ref="un:IubLink"/>
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="NodeBFunction">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="nodeBFunctionIubLink" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="UtranCell">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="cId" minOccurs="0"/>
+ <element name="localCellId" minOccurs="0"/>
+ <element name="uarfcnUl" minOccurs="0"/>
+ <element name="uarfcnDl" minOccurs="0"/>
+ <element name="primaryScramblingCode" minOccurs="0"/>
+ <element name="primaryCpichPower" minOccurs="0"/>
+ <element name="maximumTransmissionPower" minOccurs="0"/>
+ <element name="primarySchPower" minOccurs="0"/>
+ <element name="secondarySchPower" minOccurs="0"/>
+ <element name="bchPower" minOccurs="0"/>
+ <element name="lac" minOccurs="0"/>
+ <element name="rac" minOccurs="0"/>
+ <element name="sac" minOccurs="0"/>
+ <element name="utranCellIubLink" minOccurs="0"/>
+ <element name="uraList" minOccurs="0"/>
+ <!-- 3.1
+ <element name="ura" minOccurs="0"/>
+ -->
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="un:UtranRelation"/>
+ <element ref="gn:GsmRelation"/>
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="IubLink">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="iubLinkUtranCell" minOccurs="0"/>
+ <element name="iubLinkNodeBFunction" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="UtranRelation">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="adjacentCell" minOccurs="0"/>
+ <!--
+ <element name="relationType" minOccurs="0"/>
+ -->
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+ <element name="ExternalUtranCell">
+ <complexType>
+ <complexContent>
+ <extension base="xn:NrmClassXmlType">
+ <sequence>
+ <element name="attributes" minOccurs="0">
+ <complexType>
+ <all>
+ <element name="userLabel" minOccurs="0"/>
+ <element name="cId" minOccurs="0"/>
+ <element name="mcc" minOccurs="0"/>
+ <element name="mnc" minOccurs="0"/>
+ <element name="rncId" minOccurs="0"/>
+ <element name="uarfcnUl" minOccurs="0"/>
+ <element name="uarfcnDl" minOccurs="0"/>
+ <element name="primaryScramblingCode" minOccurs="0"/>
+ <element name="primaryCpichPower" minOccurs="0"/>
+ <element name="lac" minOccurs="0"/>
+ <element name="rac" minOccurs="0"/>
+ </all>
+ </complexType>
+ </element>
+ <choice minOccurs="0" maxOccurs="unbounded">
+ <element ref="xn:VsDataContainer"/>
+ </choice>
+ </sequence>
+ </extension>
+ </complexContent>
+ </complexType>
+ </element>
+</schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po.xml
new file mode 100644
index 0000000000..28a44c410d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po.xml
@@ -0,0 +1,32 @@
+<?xml version="1.0"?>
+<purchaseOrder orderDate="1999-10-20">
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <comment>Hurry, my lawn is going wild!</comment>
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/po.xsd
new file mode 100644
index 0000000000..995dd00152
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po.xsd
@@ -0,0 +1,67 @@
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema">
+
+ <xsd:annotation>
+ <xsd:documentation xml:lang="en">
+ Purchase order schema for Example.com.
+ Copyright 2000 Example.com. All rights reserved.
+ </xsd:documentation>
+ </xsd:annotation>
+
+ <xsd:element name="purchaseOrder" type="PurchaseOrderType"/>
+
+ <xsd:element name="comment" type="xsd:string"/>
+
+ <xsd:complexType name="PurchaseOrderType">
+ <xsd:sequence>
+ <xsd:element name="shipTo" type="USAddress"/>
+ <xsd:element name="billTo" type="USAddress"/>
+ <xsd:element ref="comment" minOccurs="0"/>
+ <xsd:element name="items" type="Items"/>
+ </xsd:sequence>
+ <xsd:attribute name="orderDate" type="xsd:date"/>
+ </xsd:complexType>
+
+ <xsd:complexType name="USAddress">
+ <xsd:sequence>
+ <xsd:element name="name" type="xsd:string"/>
+ <xsd:element name="street" type="xsd:string"/>
+ <xsd:element name="city" type="xsd:string"/>
+ <xsd:element name="state" type="xsd:string"/>
+ <xsd:element name="zip" type="xsd:decimal"/>
+ </xsd:sequence>
+ <xsd:attribute name="country" type="xsd:NMTOKEN"
+ fixed="US"/>
+ </xsd:complexType>
+
+ <xsd:complexType name="Items">
+ <xsd:sequence>
+ <xsd:element name="item" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="productName" type="xsd:string"/>
+ <xsd:element name="quantity">
+ <xsd:simpleType>
+ <xsd:restriction base="xsd:positiveInteger">
+ <xsd:maxExclusive value="100"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ </xsd:element>
+ <xsd:element name="USPrice" type="xsd:decimal"/>
+ <xsd:element ref="comment" minOccurs="0"/>
+ <xsd:element name="shipDate" type="xsd:date" minOccurs="0"/>
+ </xsd:sequence>
+ <xsd:attribute name="partNum" type="SKU" use="required"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ </xsd:complexType>
+
+ <!-- Stock Keeping Unit, a code for identifying products -->
+ <xsd:simpleType name="SKU">
+ <xsd:restriction base="xsd:string">
+ <xsd:pattern value="\d{3}-[A-Z]{2}"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+
+</xsd:schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab
new file mode 100644
index 0000000000..fa3fcdaa09
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab
@@ -0,0 +1,424 @@
+[{{element,{name,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{name,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{productName,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{productName,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{schema,"po1.xsd"},
+ {schema,unqualified,
+ unqualified,
+ 'http://www.example.com/PO1',
+ [],
+ [],
+ [{element,{{purchaseOrder,[],'http://www.example.com/PO1'},{1,1}}},
+ {element,{{comment,[],'http://www.example.com/PO1'},{1,1}}}]}},
+ {{simpleType,{'_xmerl_no_name_',[quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_simple_type,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [quantity,anonymous,item,'Items'],
+ {positiveInteger,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{maxExclusive,"100"}],
+ atomic,
+ [{restriction,{{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{maxExclusive,"100"}]}}]}},
+ {{element,{street,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{street,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{shipTo,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_element,{shipTo,['PurchaseOrderType'],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'USAddress',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'PurchaseOrderType',[],'http://www.example.com/PO1'}},
+ {schema_complex_type,{'PurchaseOrderType',[],'http://www.example.com/PO1'},
+ undefined,
+ false,
+ ['PurchaseOrderType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{orderDate,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'}}],
+ [{sequence,{[{element,
+ {{shipTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{billTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/PO1'},
+ {0,1}}},
+ {element,
+ {{items,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{simpleType,{'SKU',[],'http://www.example.com/PO1'}},
+ {schema_simple_type,{'SKU',[],'http://www.example.com/PO1'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{pattern,"\\d{3}-[A-Z]{2}"}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{pattern,"\\d{3}-[A-Z]{2}"}]}}]}},
+ {{element,{city,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{city,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{quantity,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{quantity,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{orderDate,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_attribute,{orderDate,['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['PurchaseOrderType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{state,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{state,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{comment,[],'http://www.example.com/PO1'}},
+ {schema_element,{comment,[],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'USAddress',[],'http://www.example.com/PO1'}},
+ {schema_complex_type,{'USAddress',[],'http://www.example.com/PO1'},
+ undefined,
+ false,
+ ['USAddress'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{country,
+ ['USAddress'],
+ 'http://www.example.com/PO1'}}],
+ [{sequence,{[{element,
+ {{name,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{street,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{city,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{state,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{zip,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{purchaseOrder,[],'http://www.example.com/PO1'}},
+ {schema_element,{purchaseOrder,[],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'PurchaseOrderType',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{billTo,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_element,{billTo,['PurchaseOrderType'],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'USAddress',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{items,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_element,{items,['PurchaseOrderType'],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'Items',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'Items',[],'http://www.example.com/PO1'}},
+ {schema_complex_type,{'Items',[],'http://www.example.com/PO1'},
+ undefined,
+ false,
+ ['Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{item,
+ ['Items'],
+ 'http://www.example.com/PO1'},
+ {0,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{item,['Items'],'http://www.example.com/PO1'}},
+ {schema_element,{item,['Items'],'http://www.example.com/PO1'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Items'],
+ undefined,
+ {0,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{partNum,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_attribute,{partNum,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{'SKU',[],'http://www.example.com/PO1'}}],
+ false,
+ [anonymous,item,'Items'],
+ required,
+ undefined,
+ undefined,
+ undefined}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,item,'Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{partNum,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'}}],
+ [{sequence,{[{element,
+ {{productName,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{quantity,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{'USPrice',
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/PO1'},
+ {0,1}}},
+ {element,
+ {{shipDate,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {0,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{attribute,{country,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_attribute,{country,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{'NMTOKEN',
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['USAddress'],
+ optional,
+ undefined,
+ "US",
+ undefined}},
+ {{element,{'USPrice',[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{'USPrice',[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{decimal,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{shipDate,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{shipDate,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {0,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{zip,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{zip,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{decimal,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}}]
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab2 b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab2
new file mode 100644
index 0000000000..fa3fcdaa09
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.tab2
@@ -0,0 +1,424 @@
+[{{element,{name,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{name,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{productName,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{productName,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{schema,"po1.xsd"},
+ {schema,unqualified,
+ unqualified,
+ 'http://www.example.com/PO1',
+ [],
+ [],
+ [{element,{{purchaseOrder,[],'http://www.example.com/PO1'},{1,1}}},
+ {element,{{comment,[],'http://www.example.com/PO1'},{1,1}}}]}},
+ {{simpleType,{'_xmerl_no_name_',[quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_simple_type,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [quantity,anonymous,item,'Items'],
+ {positiveInteger,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{maxExclusive,"100"}],
+ atomic,
+ [{restriction,{{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{maxExclusive,"100"}]}}]}},
+ {{element,{street,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{street,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{shipTo,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_element,{shipTo,['PurchaseOrderType'],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'USAddress',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'PurchaseOrderType',[],'http://www.example.com/PO1'}},
+ {schema_complex_type,{'PurchaseOrderType',[],'http://www.example.com/PO1'},
+ undefined,
+ false,
+ ['PurchaseOrderType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{orderDate,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'}}],
+ [{sequence,{[{element,
+ {{shipTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{billTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/PO1'},
+ {0,1}}},
+ {element,
+ {{items,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{simpleType,{'SKU',[],'http://www.example.com/PO1'}},
+ {schema_simple_type,{'SKU',[],'http://www.example.com/PO1'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{pattern,"\\d{3}-[A-Z]{2}"}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{pattern,"\\d{3}-[A-Z]{2}"}]}}]}},
+ {{element,{city,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{city,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{quantity,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{quantity,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{orderDate,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_attribute,{orderDate,['PurchaseOrderType'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['PurchaseOrderType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{state,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{state,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{comment,[],'http://www.example.com/PO1'}},
+ {schema_element,{comment,[],'http://www.example.com/PO1'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'USAddress',[],'http://www.example.com/PO1'}},
+ {schema_complex_type,{'USAddress',[],'http://www.example.com/PO1'},
+ undefined,
+ false,
+ ['USAddress'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{country,
+ ['USAddress'],
+ 'http://www.example.com/PO1'}}],
+ [{sequence,{[{element,
+ {{name,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{street,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{city,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{state,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{zip,
+ ['USAddress'],
+ 'http://www.example.com/PO1'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{purchaseOrder,[],'http://www.example.com/PO1'}},
+ {schema_element,{purchaseOrder,[],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'PurchaseOrderType',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{billTo,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_element,{billTo,['PurchaseOrderType'],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'USAddress',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{items,['PurchaseOrderType'],'http://www.example.com/PO1'}},
+ {schema_element,{items,['PurchaseOrderType'],'http://www.example.com/PO1'},
+ [{simple_or_complex_Type,
+ {'Items',[],'http://www.example.com/PO1'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'Items',[],'http://www.example.com/PO1'}},
+ {schema_complex_type,{'Items',[],'http://www.example.com/PO1'},
+ undefined,
+ false,
+ ['Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{item,
+ ['Items'],
+ 'http://www.example.com/PO1'},
+ {0,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{item,['Items'],'http://www.example.com/PO1'}},
+ {schema_element,{item,['Items'],'http://www.example.com/PO1'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Items'],
+ undefined,
+ {0,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{partNum,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_attribute,{partNum,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{'SKU',[],'http://www.example.com/PO1'}}],
+ false,
+ [anonymous,item,'Items'],
+ required,
+ undefined,
+ undefined,
+ undefined}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,item,'Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{partNum,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'}}],
+ [{sequence,{[{element,
+ {{productName,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{quantity,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{'USPrice',
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/PO1'},
+ {0,1}}},
+ {element,
+ {{shipDate,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ {0,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{attribute,{country,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_attribute,{country,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{'NMTOKEN',
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['USAddress'],
+ optional,
+ undefined,
+ "US",
+ undefined}},
+ {{element,{'USPrice',[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{'USPrice',[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{decimal,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{shipDate,[anonymous,item,'Items'],'http://www.example.com/PO1'}},
+ {schema_element,{shipDate,[anonymous,item,'Items'],
+ 'http://www.example.com/PO1'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {0,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{zip,['USAddress'],'http://www.example.com/PO1'}},
+ {schema_element,{zip,['USAddress'],'http://www.example.com/PO1'},
+ [{simpleType,{decimal,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}}]
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xml
new file mode 100644
index 0000000000..c77701b5a2
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xml
@@ -0,0 +1,36 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder xmlns:apo="http://www.example.com/PO1"
+ orderDate="1999-10-20">
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xsd
new file mode 100644
index 0000000000..bc4dae27b5
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1.xsd
@@ -0,0 +1,64 @@
+<schema xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:po="http://www.example.com/PO1"
+ targetNamespace="http://www.example.com/PO1"
+ elementFormDefault="unqualified"
+ attributeFormDefault="unqualified">
+
+ <element name="purchaseOrder" type="po:PurchaseOrderType"/>
+
+ <element name="comment" type="string"/>
+
+ <complexType name="PurchaseOrderType">
+ <sequence>
+ <element name="shipTo" type="po:USAddress"/>
+ <element name="billTo" type="po:USAddress"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element name="items" type="po:Items"/>
+ </sequence>
+ <attribute name="orderDate" type="date"/>
+ </complexType>
+
+ <complexType name="USAddress">
+ <sequence>
+ <element name="name" type="string"/>
+ <element name="street" type="string"/>
+ <element name="city" type="string"/>
+ <element name="state" type="string"/>
+ <element name="zip" type="decimal"/>
+ </sequence>
+ <attribute name="country" type="NMTOKEN"
+ fixed="US"/>
+ </complexType>
+
+ <complexType name="Items">
+ <sequence>
+ <element name="item" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="productName" type="string"/>
+ <element name="quantity">
+ <simpleType>
+ <restriction base="positiveInteger">
+ <maxExclusive value="100"/>
+ </restriction>
+ </simpleType>
+ </element>
+ <element name="USPrice" type="decimal"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element name="shipDate" type="date" minOccurs="0"/>
+ </sequence>
+ <attribute name="partNum" type="po:SKU" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <!-- Stock Keeping Unit, a code for identifying products -->
+ <simpleType name="SKU">
+ <restriction base="string">
+ <pattern value="\d{3}-[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all.xsd
new file mode 100644
index 0000000000..7383c7f8f6
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all.xsd
@@ -0,0 +1,65 @@
+<schema xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:po="http://www.example.com/PO1"
+ targetNamespace="http://www.example.com/PO1"
+ elementFormDefault="unqualified"
+ attributeFormDefault="unqualified">
+
+ <element name="purchaseOrder" type="po:PurchaseOrderType"/>
+
+ <element name="comment" type="string"/>
+
+
+ <complexType name="PurchaseOrderType">
+ <all>
+ <element name="shipTo" type="po:USAddress"/>
+ <element name="billTo" type="po:USAddress"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element name="items" type="po:Items"/>
+ </all>
+ <attribute name="orderDate" type="date"/>
+ </complexType>
+
+ <complexType name="USAddress">
+ <sequence>
+ <element name="name" type="string"/>
+ <element name="street" type="string"/>
+ <element name="city" type="string"/>
+ <element name="state" type="string"/>
+ <element name="zip" type="decimal"/>
+ </sequence>
+ <attribute name="country" type="NMTOKEN"
+ fixed="US"/>
+ </complexType>
+
+ <complexType name="Items">
+ <sequence>
+ <element name="item" minOccurs="0" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="productName" type="string"/>
+ <element name="quantity">
+ <simpleType>
+ <restriction base="positiveInteger">
+ <maxExclusive value="100"/>
+ </restriction>
+ </simpleType>
+ </element>
+ <element name="USPrice" type="decimal"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element name="shipDate" type="date" minOccurs="0"/>
+ </sequence>
+ <attribute name="partNum" type="po:SKU" use="required"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <!-- Stock Keeping Unit, a code for identifying products -->
+ <simpleType name="SKU">
+ <restriction base="string">
+ <pattern value="\d{3}-[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all1.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all1.xml
new file mode 100644
index 0000000000..a5ae223d65
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all1.xml
@@ -0,0 +1,36 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder xmlns:apo="http://www.example.com/PO1"
+ orderDate="1999-10-20">
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all2.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all2.xml
new file mode 100644
index 0000000000..2c40c8c686
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all2.xml
@@ -0,0 +1,35 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder xmlns:apo="http://www.example.com/PO1"
+ orderDate="1999-10-20">
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err1.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err1.xml
new file mode 100644
index 0000000000..98d82e4642
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err1.xml
@@ -0,0 +1,37 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder xmlns:apo="http://www.example.com/PO1"
+ orderDate="1999-10-20">
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err2.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err2.xml
new file mode 100644
index 0000000000..e4cc3f362e
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_all_err2.xml
@@ -0,0 +1,28 @@
+<?xml version="1.0"?>
+<apo:purchaseOrder xmlns:apo="http://www.example.com/PO1"
+ orderDate="1999-10-20">
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <apo:comment>Hurry, my lawn is going wild!</apo:comment>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</apo:purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_global.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_global.xsd
new file mode 100644
index 0000000000..04a4baa0d2
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po1_global.xsd
@@ -0,0 +1,86 @@
+<schema xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:po="http://www.example.com/PO1"
+ targetNamespace="http://www.example.com/PO1">
+
+<!-- validates po2.xml -->
+
+ <element name="purchaseOrder" type="po:PurchaseOrderType"/>
+
+ <element name="shipTo" type="po:USAddress"/>
+ <element name="billTo" type="po:USAddress"/>
+ <element name="comment" type="string"/>
+
+ <element name="name" type="string"/>
+ <element name="street" type="string"/>
+
+ <element name="items" type="po:Items"/>
+
+ <attribute name="orderDate" type="date"/>
+
+ <element name="city" type="string"/>
+ <element name="state" type="string"/>
+ <element name="zip" type="decimal"/>
+
+ <attribute name="country" type="NMTOKEN" fixed="US"/>
+
+ <element name="item" type="po:Item"/>
+
+ <element name="productName" type="string"/>
+ <element name="quantity" type="ps:Quantity"/>
+ <element name="USPrice" type="decimal"/>
+ <element name="shipDate" type="date"/>
+
+ <attribute name="partNum" type="po:SKU" use="required"/>
+
+ <complexType name="PurchaseOrderType">
+ <sequence>
+ <element ref="po:shipTo"/>
+ <element ref="po:billTo"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element ref="po:items"/>
+ </sequence>
+ <attribute ref="po:orderDate"/>
+ </complexType>
+
+ <complexType name="USAddress">
+ <sequence>
+ <element ref="po:name"/>
+ <element ref="po:street"/>
+ <element ref="po:city"/>
+ <element ref="po:state"/>
+ <element ref="po:zip"/>
+ </sequence>
+ <attribute ref="po:country"/>
+ </complexType>
+
+ <complexType name="Items">
+ <sequence>
+ <element ref="po:item" minOccurs="0" maxOccurs="unbounded"/>
+ </sequence>
+ </complexType>
+
+ <complexType name="Item">
+ <sequence>
+ <element ref="po:productName"/>
+ <element ref="po:quantity"/>
+ <element ref="po:USPrice"/>
+ <element ref="po:comment" minOccurs="0"/>
+ <element ref="po:shipDate" minOccurs="0"/>
+ </sequence>
+ <attribute ref="po:partNum"/>
+ </complexType>
+
+ <simpleType name="Quantity">
+ <restriction base="positiveInteger">
+ <maxExclusive value="100"/>
+ </restriction>
+ </simpleType>
+
+ <!-- Stock Keeping Unit, a code for identifying products -->
+ <simpleType name="SKU">
+ <restriction base="string">
+ <pattern value="\d{3}-[A-Z]{2}"/>
+ </restriction>
+ </simpleType>
+
+</schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po2.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po2.xml
new file mode 100644
index 0000000000..c1077b7572
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po2.xml
@@ -0,0 +1,36 @@
+<?xml version="1.0"?>
+<purchaseOrder xmlns="http://www.example.com/PO1"
+ orderDate="1999-10-20">
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <!-- etc. -->
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <!-- etc. -->
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <comment>Hurry, my lawn is going wild!</comment>
+ <!-- etc. -->
+ <items>
+ <item partNum="872-AA">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xml
new file mode 100644
index 0000000000..5e49a4a889
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xml
@@ -0,0 +1,32 @@
+<?xml version="1.0"?>
+<purchaseOrder orderDate="1999-10-20">
+ <shipTo country="US">
+ <name>Alice Smith</name>
+ <street>123 Maple Street</street>
+ <city>Mill Valley</city>
+ <state>CA</state>
+ <zip>90952</zip>
+ </shipTo>
+ <billTo country="US">
+ <name>Robert Smith</name>
+ <street>8 Oak Avenue</street>
+ <city>Old Town</city>
+ <state>PA</state>
+ <zip>95819</zip>
+ </billTo>
+ <comment>Hurry, my lawn is going wild!</comment>
+ <items>
+ <item partNum="872-AA" weightKg="25.5" shipBy="any">
+ <productName>Lawnmower</productName>
+ <quantity>1</quantity>
+ <USPrice>148.95</USPrice>
+ <comment>Confirm this is electric</comment>
+ </item>
+ <item partNum="926-AA" weightKg="0.5" shipBy="air">
+ <productName>Baby Monitor</productName>
+ <quantity>1</quantity>
+ <USPrice>39.98</USPrice>
+ <shipDate>1999-05-21</shipDate>
+ </item>
+ </items>
+</purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xsd
new file mode 100644
index 0000000000..734f65e0e0
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/po_attrGroup.xsd
@@ -0,0 +1,81 @@
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema">
+
+ <xsd:annotation>
+ <xsd:documentation xml:lang="en">
+ Purchase order schema for Example.com.
+ Copyright 2000 Example.com. All rights reserved.
+ </xsd:documentation>
+ </xsd:annotation>
+
+ <xsd:element name="purchaseOrder" type="PurchaseOrderType"/>
+
+ <xsd:element name="comment" type="xsd:string"/>
+
+ <xsd:complexType name="PurchaseOrderType">
+ <xsd:sequence>
+ <xsd:element name="shipTo" type="USAddress"/>
+ <xsd:element name="billTo" type="USAddress"/>
+ <xsd:element ref="comment" minOccurs="0"/>
+ <xsd:element name="items" type="Items"/>
+ </xsd:sequence>
+ <xsd:attribute name="orderDate" type="xsd:date"/>
+ </xsd:complexType>
+
+ <xsd:complexType name="USAddress">
+ <xsd:sequence>
+ <xsd:element name="name" type="xsd:string"/>
+ <xsd:element name="street" type="xsd:string"/>
+ <xsd:element name="city" type="xsd:string"/>
+ <xsd:element name="state" type="xsd:string"/>
+ <xsd:element name="zip" type="xsd:decimal"/>
+ </xsd:sequence>
+ <xsd:attribute name="country" type="xsd:NMTOKEN"
+ fixed="US"/>
+ </xsd:complexType>
+
+ <xsd:complexType name="Items">
+ <xsd:sequence>
+ <xsd:element name="item" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="productName" type="xsd:string"/>
+ <xsd:element name="quantity">
+ <xsd:simpleType>
+ <xsd:restriction base="xsd:positiveInteger">
+ <xsd:maxExclusive value="100"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ </xsd:element>
+ <xsd:element name="USPrice" type="xsd:decimal"/>
+ <xsd:element ref="comment" minOccurs="0"/>
+ <xsd:element name="shipDate" type="xsd:date" minOccurs="0"/>
+ </xsd:sequence>
+ <xsd:attributeGroup ref="ItemDelivery"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ </xsd:complexType>
+
+ <!-- Stock Keeping Unit, a code for identifying products -->
+ <xsd:simpleType name="SKU">
+ <xsd:restriction base="xsd:string">
+ <xsd:pattern value="\d{3}-[A-Z]{2}"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+
+ <xsd:attributeGroup name="ItemDelivery">
+ <xsd:attribute name="partNum" type="SKU" use="required"/>
+ <xsd:attribute name="weightKg" type="xsd:decimal"/>
+ <xsd:attribute name="shipBy">
+ <xsd:simpleType>
+ <xsd:restriction base="xsd:string">
+ <xsd:enumeration value="air"/>
+ <xsd:enumeration value="land"/>
+ <xsd:enumeration value="any"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ </xsd:attribute>
+ </xsd:attributeGroup>
+
+</xsd:schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab b/lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab
new file mode 100644
index 0000000000..f5c10e2683
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab
@@ -0,0 +1,850 @@
+[{{complexType,{'RegionsType',[],'http://www.example.com/Report'}},
+ {schema_complex_type,{'RegionsType',[],'http://www.example.com/Report'},
+ undefined,
+ false,
+ ['RegionsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{zip,
+ ['RegionsType'],
+ 'http://www.example.com/Report'},
+ {1,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{complexType,{'PurchaseOrderType',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'PurchaseOrderType',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['PurchaseOrderType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{orderDate,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'}}],
+ [{sequence,{[{element,
+ {{shipTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{billTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/IPO'},
+ {0,1}}},
+ {element,
+ {{items,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{parts,[anonymous,purchaseReport],'http://www.example.com/Report'}},
+ {schema_element,{parts,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simple_or_complex_Type,
+ {'PartsType',[],'http://www.example.com/Report'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,purchaseReport],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{item,['Items'],'http://www.example.com/IPO'}},
+ {schema_element,{item,['Items'],'http://www.example.com/IPO'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Items'],
+ undefined,
+ {0,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{part,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_element,{part,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,
+ part,
+ anonymous,
+ zip,
+ 'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,zip,'RegionsType'],
+ undefined,
+ {1,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{orderDate,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_attribute,{orderDate,['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['PurchaseOrderType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{attribute,{number,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{number,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{'SKU',[],'http://www.example.com/IPO'}}],
+ false,
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{simpleType,{'USState',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'USState',[],'http://www.example.com/IPO'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{enumeration,["AK","AL","AR","PA"]}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{enumeration,"AK"},
+ {enumeration,"AL"},
+ {enumeration,"AR"},
+ {enumeration,"PA"}]}}]}},
+ {{complexType,{'PartsType',[],'http://www.example.com/Report'}},
+ {schema_complex_type,{'PartsType',[],'http://www.example.com/Report'},
+ undefined,
+ false,
+ ['PartsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{part,
+ ['PartsType'],
+ 'http://www.example.com/Report'},
+ {1,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{attribute,{partNum,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_attribute,{partNum,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{'SKU',[],'http://www.example.com/IPO'}}],
+ false,
+ [anonymous,item,'Items'],
+ required,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{shipTo,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_element,{shipTo,['PurchaseOrderType'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'Address',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,purchaseReport],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,purchaseReport],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,purchaseReport],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{period,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {attribute,{periodEnding,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'}}],
+ [{sequence,{[{element,
+ {{regions,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ {1,1}}},
+ {element,
+ {{parts,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{simpleType,{'SKU',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'SKU',[],'http://www.example.com/IPO'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{pattern,"\\d{3}-[A-Z]{2}"}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{pattern,"\\d{3}-[A-Z]{2}"}]}}]}},
+ {{attribute,{number,[anonymous,part,'PartsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{number,[anonymous,part,'PartsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{'SKU',[],'http://www.example.com/IPO'}}],
+ false,
+ [anonymous,part,'PartsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{attribute,{period,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{period,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simpleType,{duration,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,purchaseReport],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{simpleType,{'_xmerl_no_name_',[quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_simple_type,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [quantity,anonymous,item,'Items'],
+ {positiveInteger,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{maxExclusive,"100"}],
+ atomic,
+ [{restriction,{{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{maxExclusive,"100"}]}}]}},
+ {{element,{productName,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{productName,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{comment,[],'http://www.example.com/IPO'}},
+ {schema_element,{comment,[],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{periodEnding,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{periodEnding,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,purchaseReport],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{complexType,{'Address',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'Address',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['Address'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{name,
+ ['Address'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{street,
+ ['Address'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{city,
+ ['Address'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{purchaseOrder,[],'http://www.example.com/IPO'}},
+ {schema_element,{purchaseOrder,[],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'PurchaseOrderType',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,part,'PartsType'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,part,'PartsType'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,part,'PartsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{number,
+ [anonymous,part,'PartsType'],
+ 'http://www.example.com/Report'}}],
+ [{extension,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ []}}],
+ undefined}},
+ {{element,{zip,['RegionsType'],'http://www.example.com/Report'}},
+ {schema_element,{zip,['RegionsType'],'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['RegionsType'],
+ undefined,
+ {1,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{state,['USAddress'],'http://www.example.com/IPO'}},
+ {schema_element,{state,['USAddress'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'USState',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{items,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_element,{items,['PurchaseOrderType'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'Items',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{simpleType,{'UKPostcode',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'UKPostcode',[],'http://www.example.com/IPO'},
+ [],
+ {'Postcode',[],'http://www.example.com/IPO'},
+ false,
+ [],
+ [{pattern,"[A-Z]{2}\\d\\s\\d[A-Z]{2}"}],
+ atomic,
+ [{restriction,{{'Postcode',
+ [],
+ 'http://www.example.com/IPO'},
+ [{pattern,"[A-Z]{2}\\d\\s\\d[A-Z]{2}"}]}}]}},
+ {{complexType,{'Items',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'Items',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{item,
+ ['Items'],
+ 'http://www.example.com/IPO'},
+ {0,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{quantity,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{quantity,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{code,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{code,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,zip,'RegionsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{purchaseReport,[],'http://www.example.com/Report'}},
+ {schema_element,{purchaseReport,[],'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,purchaseReport],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [{unique,{unique,{dummy1,
+ [purchaseReport],
+ 'http://www.example.com/Report'},
+ {selector,"r:regions/r:zip"},
+ [{field,"@code"}]}}],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{street,['Address'],'http://www.example.com/IPO'}},
+ {schema_element,{street,['Address'],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Address'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{zip,['USAddress'],'http://www.example.com/IPO'}},
+ {schema_element,{zip,['USAddress'],'http://www.example.com/IPO'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{regions,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {schema_element,{regions,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simple_or_complex_Type,
+ {'RegionsType',[],'http://www.example.com/Report'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,purchaseReport],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{part,['PartsType'],'http://www.example.com/Report'}},
+ {schema_element,{part,['PartsType'],'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,part,'PartsType'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['PartsType'],
+ undefined,
+ {1,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{city,['Address'],'http://www.example.com/IPO'}},
+ {schema_element,{city,['Address'],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Address'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'USAddress',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'USAddress',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['USAddress'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{extension,{{'Address',
+ [],
+ 'http://www.example.com/IPO'},
+ [{sequence,
+ {[{element,
+ {{state,
+ ['USAddress'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{zip,
+ ['USAddress'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}]}}],
+ undefined}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{number,
+ [anonymous,
+ part,
+ anonymous,
+ zip,
+ 'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {attribute,{quantity,
+ [anonymous,
+ part,
+ anonymous,
+ zip,
+ 'RegionsType'],
+ 'http://www.example.com/Report'}}],
+ [{restriction,{{anyType,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ []}}],
+ undefined}},
+ {{element,{billTo,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_element,{billTo,['PurchaseOrderType'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'Address',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{postcode,['UKAddress'],'http://www.example.com/IPO'}},
+ {schema_element,{postcode,['UKAddress'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'UKPostcode',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['UKAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{schema,"report.xsd"},
+ {schema,qualified,
+ unqualified,
+ 'http://www.example.com/Report',
+ [],
+ [],
+ [{element,{{purchaseReport,[],'http://www.example.com/Report'},
+ {1,1}}}]}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,item,'Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{partNum,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'}}],
+ [{sequence,{[{element,
+ {{productName,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{quantity,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{'USPrice',
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/IPO'},
+ {0,1}}},
+ {element,
+ {{shipDate,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {0,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{simpleType,{'Postcode',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'Postcode',[],'http://www.example.com/IPO'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{length,"7"}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{length,"7"}]}}]}},
+ {{element,{'USPrice',[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{'USPrice',[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{decimal,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{schema,"ipo.xsd"},
+ {schema,unqualified,
+ unqualified,
+ 'http://www.example.com/IPO',
+ [],
+ [],
+ [{element,{{purchaseOrder,[],'http://www.example.com/IPO'},{1,1}}},
+ {element,{{comment,[],'http://www.example.com/IPO'},{1,1}}}]}},
+ {{attribute,{quantity,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{quantity,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{shipDate,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{shipDate,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {0,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{exportCode,['UKAddress'],'http://www.example.com/IPO'}},
+ {schema_attribute,{exportCode,['UKAddress'],'http://www.example.com/IPO'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['UKAddress'],
+ optional,
+ undefined,
+ "1",
+ undefined}},
+ {{element,{name,['Address'],'http://www.example.com/IPO'}},
+ {schema_element,{name,['Address'],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Address'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,zip,'RegionsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{code,
+ [anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}}],
+ [{sequence,{[{element,
+ {{part,
+ [anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ {1,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{complexType,{'UKAddress',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'UKAddress',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['UKAddress'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{exportCode,
+ ['UKAddress'],
+ 'http://www.example.com/IPO'}}],
+ [{extension,{{'Address',
+ [],
+ 'http://www.example.com/IPO'},
+ [{sequence,
+ {[{element,
+ {{postcode,
+ ['UKAddress'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}]}}],
+ undefined}}]
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab2 b/lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab2
new file mode 100644
index 0000000000..f5c10e2683
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/report.tab2
@@ -0,0 +1,850 @@
+[{{complexType,{'RegionsType',[],'http://www.example.com/Report'}},
+ {schema_complex_type,{'RegionsType',[],'http://www.example.com/Report'},
+ undefined,
+ false,
+ ['RegionsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{zip,
+ ['RegionsType'],
+ 'http://www.example.com/Report'},
+ {1,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{complexType,{'PurchaseOrderType',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'PurchaseOrderType',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['PurchaseOrderType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{orderDate,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'}}],
+ [{sequence,{[{element,
+ {{shipTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{billTo,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/IPO'},
+ {0,1}}},
+ {element,
+ {{items,
+ ['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{parts,[anonymous,purchaseReport],'http://www.example.com/Report'}},
+ {schema_element,{parts,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simple_or_complex_Type,
+ {'PartsType',[],'http://www.example.com/Report'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,purchaseReport],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{item,['Items'],'http://www.example.com/IPO'}},
+ {schema_element,{item,['Items'],'http://www.example.com/IPO'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Items'],
+ undefined,
+ {0,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{part,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_element,{part,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,
+ part,
+ anonymous,
+ zip,
+ 'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,zip,'RegionsType'],
+ undefined,
+ {1,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{orderDate,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_attribute,{orderDate,['PurchaseOrderType'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['PurchaseOrderType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{attribute,{number,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{number,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{'SKU',[],'http://www.example.com/IPO'}}],
+ false,
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{simpleType,{'USState',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'USState',[],'http://www.example.com/IPO'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{enumeration,["AK","AL","AR","PA"]}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{enumeration,"AK"},
+ {enumeration,"AL"},
+ {enumeration,"AR"},
+ {enumeration,"PA"}]}}]}},
+ {{complexType,{'PartsType',[],'http://www.example.com/Report'}},
+ {schema_complex_type,{'PartsType',[],'http://www.example.com/Report'},
+ undefined,
+ false,
+ ['PartsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{part,
+ ['PartsType'],
+ 'http://www.example.com/Report'},
+ {1,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{attribute,{partNum,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_attribute,{partNum,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{'SKU',[],'http://www.example.com/IPO'}}],
+ false,
+ [anonymous,item,'Items'],
+ required,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{shipTo,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_element,{shipTo,['PurchaseOrderType'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'Address',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,purchaseReport],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,purchaseReport],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,purchaseReport],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{period,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {attribute,{periodEnding,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'}}],
+ [{sequence,{[{element,
+ {{regions,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ {1,1}}},
+ {element,
+ {{parts,
+ [anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{simpleType,{'SKU',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'SKU',[],'http://www.example.com/IPO'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{pattern,"\\d{3}-[A-Z]{2}"}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{pattern,"\\d{3}-[A-Z]{2}"}]}}]}},
+ {{attribute,{number,[anonymous,part,'PartsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{number,[anonymous,part,'PartsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{'SKU',[],'http://www.example.com/IPO'}}],
+ false,
+ [anonymous,part,'PartsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{attribute,{period,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{period,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simpleType,{duration,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,purchaseReport],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{simpleType,{'_xmerl_no_name_',[quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_simple_type,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [quantity,anonymous,item,'Items'],
+ {positiveInteger,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{maxExclusive,"100"}],
+ atomic,
+ [{restriction,{{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{maxExclusive,"100"}]}}]}},
+ {{element,{productName,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{productName,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{comment,[],'http://www.example.com/IPO'}},
+ {schema_element,{comment,[],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{periodEnding,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{periodEnding,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,purchaseReport],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{complexType,{'Address',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'Address',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['Address'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{name,
+ ['Address'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{street,
+ ['Address'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{city,
+ ['Address'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{purchaseOrder,[],'http://www.example.com/IPO'}},
+ {schema_element,{purchaseOrder,[],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'PurchaseOrderType',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,part,'PartsType'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,part,'PartsType'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,part,'PartsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{number,
+ [anonymous,part,'PartsType'],
+ 'http://www.example.com/Report'}}],
+ [{extension,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ []}}],
+ undefined}},
+ {{element,{zip,['RegionsType'],'http://www.example.com/Report'}},
+ {schema_element,{zip,['RegionsType'],'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['RegionsType'],
+ undefined,
+ {1,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{state,['USAddress'],'http://www.example.com/IPO'}},
+ {schema_element,{state,['USAddress'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'USState',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{items,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_element,{items,['PurchaseOrderType'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'Items',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{simpleType,{'UKPostcode',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'UKPostcode',[],'http://www.example.com/IPO'},
+ [],
+ {'Postcode',[],'http://www.example.com/IPO'},
+ false,
+ [],
+ [{pattern,"[A-Z]{2}\\d\\s\\d[A-Z]{2}"}],
+ atomic,
+ [{restriction,{{'Postcode',
+ [],
+ 'http://www.example.com/IPO'},
+ [{pattern,"[A-Z]{2}\\d\\s\\d[A-Z]{2}"}]}}]}},
+ {{complexType,{'Items',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'Items',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{sequence,{[{element,
+ {{item,
+ ['Items'],
+ 'http://www.example.com/IPO'},
+ {0,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{element,{quantity,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{quantity,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{'_xmerl_no_name_',
+ [quantity,anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{code,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{code,[anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,zip,'RegionsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{purchaseReport,[],'http://www.example.com/Report'}},
+ {schema_element,{purchaseReport,[],'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,purchaseReport],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [{unique,{unique,{dummy1,
+ [purchaseReport],
+ 'http://www.example.com/Report'},
+ {selector,"r:regions/r:zip"},
+ [{field,"@code"}]}}],
+ [],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{street,['Address'],'http://www.example.com/IPO'}},
+ {schema_element,{street,['Address'],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Address'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{zip,['USAddress'],'http://www.example.com/IPO'}},
+ {schema_element,{zip,['USAddress'],'http://www.example.com/IPO'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['USAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{regions,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'}},
+ {schema_element,{regions,[anonymous,purchaseReport],
+ 'http://www.example.com/Report'},
+ [{simple_or_complex_Type,
+ {'RegionsType',[],'http://www.example.com/Report'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,purchaseReport],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{part,['PartsType'],'http://www.example.com/Report'}},
+ {schema_element,{part,['PartsType'],'http://www.example.com/Report'},
+ [{complexType,{'_xmerl_no_name_',
+ [anonymous,part,'PartsType'],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['PartsType'],
+ undefined,
+ {1,unbounded},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{city,['Address'],'http://www.example.com/IPO'}},
+ {schema_element,{city,['Address'],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Address'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'USAddress',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'USAddress',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['USAddress'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [],
+ [{extension,{{'Address',
+ [],
+ 'http://www.example.com/IPO'},
+ [{sequence,
+ {[{element,
+ {{state,
+ ['USAddress'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{zip,
+ ['USAddress'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}]}}],
+ undefined}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{number,
+ [anonymous,
+ part,
+ anonymous,
+ zip,
+ 'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {attribute,{quantity,
+ [anonymous,
+ part,
+ anonymous,
+ zip,
+ 'RegionsType'],
+ 'http://www.example.com/Report'}}],
+ [{restriction,{{anyType,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ []}}],
+ undefined}},
+ {{element,{billTo,['PurchaseOrderType'],'http://www.example.com/IPO'}},
+ {schema_element,{billTo,['PurchaseOrderType'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'Address',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['PurchaseOrderType'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{element,{postcode,['UKAddress'],'http://www.example.com/IPO'}},
+ {schema_element,{postcode,['UKAddress'],'http://www.example.com/IPO'},
+ [{simple_or_complex_Type,
+ {'UKPostcode',[],'http://www.example.com/IPO'}}],
+ false,
+ undefined,
+ [],
+ ['UKAddress'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{schema,"report.xsd"},
+ {schema,qualified,
+ unqualified,
+ 'http://www.example.com/Report',
+ [],
+ [],
+ [{element,{{purchaseReport,[],'http://www.example.com/Report'},
+ {1,1}}}]}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,item,'Items'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,item,'Items'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{partNum,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'}}],
+ [{sequence,{[{element,
+ {{productName,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{quantity,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{'USPrice',
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {1,1}}},
+ {element,
+ {{comment,
+ [],
+ 'http://www.example.com/IPO'},
+ {0,1}}},
+ {element,
+ {{shipDate,
+ [anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ {0,1}}}],
+ {1,1}}}],
+ undefined}},
+ {{simpleType,{'Postcode',[],'http://www.example.com/IPO'}},
+ {schema_simple_type,{'Postcode',[],'http://www.example.com/IPO'},
+ [],
+ {string,[],'http://www.w3.org/2001/XMLSchema'},
+ false,
+ [],
+ [{length,"7"}],
+ atomic,
+ [{restriction,{{string,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'},
+ [{length,"7"}]}}]}},
+ {{element,{'USPrice',[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{'USPrice',[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{decimal,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{schema,"ipo.xsd"},
+ {schema,unqualified,
+ unqualified,
+ 'http://www.example.com/IPO',
+ [],
+ [],
+ [{element,{{purchaseOrder,[],'http://www.example.com/IPO'},{1,1}}},
+ {element,{{comment,[],'http://www.example.com/IPO'},{1,1}}}]}},
+ {{attribute,{quantity,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}},
+ {schema_attribute,{quantity,[anonymous,part,anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ [anonymous,part,anonymous,zip,'RegionsType'],
+ optional,
+ undefined,
+ undefined,
+ undefined}},
+ {{element,{shipDate,[anonymous,item,'Items'],'http://www.example.com/IPO'}},
+ {schema_element,{shipDate,[anonymous,item,'Items'],
+ 'http://www.example.com/IPO'},
+ [{simpleType,{date,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ [anonymous,item,'Items'],
+ undefined,
+ {0,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{attribute,{exportCode,['UKAddress'],'http://www.example.com/IPO'}},
+ {schema_attribute,{exportCode,['UKAddress'],'http://www.example.com/IPO'},
+ [{simpleType,{positiveInteger,
+ [],
+ 'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ ['UKAddress'],
+ optional,
+ undefined,
+ "1",
+ undefined}},
+ {{element,{name,['Address'],'http://www.example.com/IPO'}},
+ {schema_element,{name,['Address'],'http://www.example.com/IPO'},
+ [{simpleType,{string,[],'http://www.w3.org/2001/XMLSchema'}}],
+ false,
+ undefined,
+ [],
+ ['Address'],
+ undefined,
+ {1,1},
+ undefined,
+ undefined,
+ false,
+ [],
+ []}},
+ {{complexType,{'_xmerl_no_name_',[anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'}},
+ {schema_complex_type,{'_xmerl_no_name_',
+ [anonymous,zip,'RegionsType'],
+ 'http://www.w3.org/2001/XMLSchema'},
+ undefined,
+ false,
+ [anonymous,zip,'RegionsType'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{code,
+ [anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'}}],
+ [{sequence,{[{element,
+ {{part,
+ [anonymous,zip,'RegionsType'],
+ 'http://www.example.com/Report'},
+ {1,unbounded}}}],
+ {1,1}}}],
+ undefined}},
+ {{complexType,{'UKAddress',[],'http://www.example.com/IPO'}},
+ {schema_complex_type,{'UKAddress',[],'http://www.example.com/IPO'},
+ undefined,
+ false,
+ ['UKAddress'],
+ undefined,
+ [],
+ [],
+ false,
+ 'element-only',
+ [{attribute,{exportCode,
+ ['UKAddress'],
+ 'http://www.example.com/IPO'}}],
+ [{extension,{{'Address',
+ [],
+ 'http://www.example.com/IPO'},
+ [{sequence,
+ {[{element,
+ {{postcode,
+ ['UKAddress'],
+ 'http://www.example.com/IPO'},
+ {1,1}}}],
+ {1,1}}}]}}],
+ undefined}}]
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/report.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/report.xsd
new file mode 100644
index 0000000000..8db7b8219f
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/report.xsd
@@ -0,0 +1,82 @@
+<schema targetNamespace="http://www.example.com/Report"
+ xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:r="http://www.example.com/Report"
+ xmlns:xipo="http://www.example.com/IPO"
+ elementFormDefault="qualified">
+
+ <!-- for SKU -->
+ <import namespace="http://www.example.com/IPO"/>
+
+ <annotation>
+ <documentation xml:lang="en">
+ Report schema for Example.com
+ Copyright 2000 Example.com. All rights reserved.
+ </documentation>
+ </annotation>
+
+ <element name="purchaseReport">
+ <complexType>
+ <sequence>
+ <element name="regions" type="r:RegionsType"/>
+
+ <element name="parts" type="r:PartsType"/>
+ </sequence>
+ <attribute name="period" type="duration"/>
+ <attribute name="periodEnding" type="date"/>
+ </complexType>
+
+ <unique name="dummy1">
+ <selector xpath="r:regions/r:zip"/>
+ <field xpath="@code"/>
+ </unique>
+
+ <key name="pNumKey">
+ <selector xpath="r:parts/r:part"/>
+ <field xpath="@number"/>
+ </key>
+
+ <keyref name="dummy2" refer="r:pNumKey">
+ <selector xpath="r:regions/r:zip/r:part"/>
+ <field xpath="@number"/>
+ </keyref>
+
+ </element>
+
+ <complexType name="RegionsType">
+ <sequence>
+ <element name="zip" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="part" maxOccurs="unbounded">
+ <complexType>
+ <complexContent>
+ <restriction base="anyType">
+ <attribute name="number" type="xipo:SKU"/>
+ <attribute name="quantity" type="positiveInteger"/>
+ </restriction>
+ </complexContent>
+ </complexType>
+ </element>
+ </sequence>
+ <attribute name="code" type="positiveInteger"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <complexType name="PartsType">
+ <sequence>
+ <element name="part" maxOccurs="unbounded">
+ <complexType>
+ <simpleContent>
+ <extension base="string">
+ <attribute name="number" type="xipo:SKU"/>
+ </extension>
+ </simpleContent>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/report2.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/report2.xsd
new file mode 100644
index 0000000000..a114cad4f2
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/report2.xsd
@@ -0,0 +1,82 @@
+<schema targetNamespace="http://www.example.com/Report"
+ xmlns="http://www.w3.org/2001/XMLSchema"
+ xmlns:r="http://www.example.com/Report"
+ xmlns:xipo="http://www.example.com/IPO"
+ elementFormDefault="qualified">
+
+ <!-- for SKU -->
+ <import namespace="http://www.example.com/IPO" schemaLocation="ipo.xsd"/>
+
+ <annotation>
+ <documentation xml:lang="en">
+ Report schema for Example.com
+ Copyright 2000 Example.com. All rights reserved.
+ </documentation>
+ </annotation>
+
+ <element name="purchaseReport">
+ <complexType>
+ <sequence>
+ <element name="regions" type="r:RegionsType"/>
+
+ <element name="parts" type="r:PartsType"/>
+ </sequence>
+ <attribute name="period" type="duration"/>
+ <attribute name="periodEnding" type="date"/>
+ </complexType>
+
+ <unique name="dummy1">
+ <selector xpath="r:regions/r:zip"/>
+ <field xpath="@code"/>
+ </unique>
+
+ <key name="pNumKey">
+ <selector xpath="r:parts/r:part"/>
+ <field xpath="@number"/>
+ </key>
+
+ <keyref name="dummy2" refer="r:pNumKey">
+ <selector xpath="r:regions/r:zip/r:part"/>
+ <field xpath="@number"/>
+ </keyref>
+
+ </element>
+
+ <complexType name="RegionsType">
+ <sequence>
+ <element name="zip" maxOccurs="unbounded">
+ <complexType>
+ <sequence>
+ <element name="part" maxOccurs="unbounded">
+ <complexType>
+ <complexContent>
+ <restriction base="anyType">
+ <attribute name="number" type="xipo:SKU"/>
+ <attribute name="quantity" type="positiveInteger"/>
+ </restriction>
+ </complexContent>
+ </complexType>
+ </element>
+ </sequence>
+ <attribute name="code" type="positiveInteger"/>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+ <complexType name="PartsType">
+ <sequence>
+ <element name="part" maxOccurs="unbounded">
+ <complexType>
+ <simpleContent>
+ <extension base="string">
+ <attribute name="number" type="xipo:SKU"/>
+ </extension>
+ </simpleContent>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+</schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/simple_int.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/simple_int.xsd
new file mode 100644
index 0000000000..12f98421dc
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/simple_int.xsd
@@ -0,0 +1,13 @@
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema">
+
+ <xsd:annotation>
+ <xsd:documentation xml:lang="en">
+ Purchase order schema for Example.com.
+ Copyright 2000 Example.com. All rights reserved.
+ </xsd:documentation>
+ </xsd:annotation>
+
+ <xsd:element name="purchaseOrder" type="xsd:int"/>
+
+ <xsd:element name="comment" type="xsd:string"/>
+</xsd:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IntegratedSite.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IntegratedSite.xsd
new file mode 100644
index 0000000000..e211b313c2
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IntegratedSite.xsd
@@ -0,0 +1,5238 @@
+<?xml version="1.0" encoding="iso-8859-1"?>
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema" targetNamespace="http://www.ericsson.com/is/isco/IntegratedSite" xmlns="http://www.ericsson.com/is/isco/IntegratedSite" xmlns:nc="http://www.ericsson.com/is/isco/nc" xmlns:IsTypes="http://www.ericsson.com/is/isco/IsTypes" xmlns:MainSwitch="http://www.ericsson.com/is/isco/MainSwitch_1" xmlns:MyBsModel="http://www.ericsson.com/is/isco/MyBsModel_1">
+ <xsd:annotation>
+ <xsd:appinfo>
+ <mim file="bs_mim.xml" version=""/>
+ <mim file="cpd_mim.xml" version=""/>
+ <mim file="hwm_mim.xml" version=""/>
+ <mim file="swm_mim.xml" version=""/>
+ <mim file="top_mim.xml" version=""/>
+ </xsd:appinfo>
+ </xsd:annotation>
+ <xsd:import schemaLocation="nc.xsd" namespace="http://www.ericsson.com/is/isco/nc"/>
+ <xsd:import schemaLocation="IsTypes.xsd" namespace="http://www.ericsson.com/is/isco/IsTypes"/>
+ <xsd:import schemaLocation="MainSwitch_1.xsd" namespace="http://www.ericsson.com/is/isco/MainSwitch_1"/>
+ <xsd:import schemaLocation="MyBsModel_1.xsd" namespace="http://www.ericsson.com/is/isco/MyBsModel_1"/>
+ <xsd:complexType name="JobData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Data to specify a jobs progress, status etc during its execution.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="jobDateOrdered">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType0">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="jobStatus" type="JobStatus"/>
+ <xsd:element name="jobResult" type="JobResult"/>
+ <xsd:element name="jobInfo">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="jobProgress" type="Unsigned32"/>
+ <xsd:element name="jobLaststep" type="Unsigned32"/>
+ <xsd:element name="jobProgressReport">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="BladeUgSpecification">
+ <xsd:annotation>
+ <xsd:documentation>
+ Specification of a blade when an upgrade job is ordered for a
+ specific blade. This data always belongs to and is included in
+ an upgrade job for a blade system.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="ixBladeSubrack" type="Unsigned32"/>
+ <xsd:element name="ixBladeSlot" type="Unsigned32"/>
+ <xsd:element name="bladeSwgProdnr">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="bladeSwgVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="FTPData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Data to specify FTP server info, such as host, user,
+ password and path, to locate correct files or destination
+ for files.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="host">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="user">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="password">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="path">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="HwmKnockOutType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType3">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="HwmOperationalStateType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="SwgStatus">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates status of software groups. A software group is
+ complete if all included load module containers have been
+ downloaded and unpacked correctly. A software group is inconsistent
+ if the checksums of the load module containers do not match the
+ information in the system information CXP, or if the XML
+ data could not be registered.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType5">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="HwmBusTypeType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType6">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="Unsigned32">
+ <xsd:annotation>
+ <xsd:documentation>
+ Datatype for an integer according to SNMPv2 definition of
+ unsigned32.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="JobResult">
+ <xsd:annotation>
+ <xsd:documentation>
+ Possible results of an action for a job.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType8">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="HwmAdministrativeStateType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType9">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="ContainerType">
+ <xsd:annotation>
+ <xsd:documentation>
+ Type of a load module container.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType10">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="MatchStrategy">
+ <xsd:annotation>
+ <xsd:documentation>
+ Interpretation of given filename and path.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType11">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="DownloadStrategy">
+ <xsd:annotation>
+ <xsd:documentation>
+ Interpretation according to existing files.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType12">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="JobStatus">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicator of job status.
+ A job should be started immediately, but could be postponed
+ if the total load on the system would become too high.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType13">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="HwmAvailabilityStatusType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType14">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="HwmSlotStateType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType15">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:element name="model">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Is" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ The top class - the forefather of all classes.
+
+ Key attributes: []
+
+ Possible children:
+ Hardware
+ Software
+ NetworkConfiguration
+ BladeSystems
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Hardware" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Functional area Hardware Management
+
+ Key attributes: []
+
+ Possible children:
+ BladeType
+ Subrack
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="BladeType" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes a Blade.
+
+ Key attributes: [key]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="key">
+ <xsd:annotation>
+ <xsd:documentation>
+ The blade type number like CNA12801
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ The name of the Blade Type.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="width">
+ <xsd:annotation>
+ <xsd:documentation>
+ The width of the blade in number of slots.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="oneGLinks">
+ <xsd:annotation>
+ <xsd:documentation>
+ The 1G links used by the blade type , like 0,1, counted from
+ the leftmost backplane position.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="linkAggregates">
+ <xsd:annotation>
+ <xsd:documentation>
+ The 1G links used for link aggregation by the blade type , like 0-1,
+ counted from the leftmost backplane position.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="hasHwData">
+ <xsd:annotation>
+ <xsd:documentation>
+ True if possible to read product info via the maintenance bus.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isMxb">
+ <xsd:annotation>
+ <xsd:documentation>
+ True if this is a Main Switch blade type.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availOneGSlots">
+ <xsd:annotation>
+ <xsd:documentation>
+ Only valid for main switch blades. A list of slots that have 1G link.
+ Could be a single slot or a range or a combination thereof.
+ E.g. 1-24, or 1,3-13,15-24.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="aggregateRanges">
+ <xsd:annotation>
+ <xsd:documentation>
+ Only valid for main switch blades. Specifies which slots can be used
+ for link aggregation. Ranges are specified in the form 1-12,13-24.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Subrack" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes the subrack.
+
+ Key attributes: [id]
+
+ Possible children:
+ Slot
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:annotation>
+ <xsd:documentation>
+ Identifies a certain subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType16">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="type">
+ <xsd:annotation>
+ <xsd:documentation>
+ The type of subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="userLabel">
+ <xsd:annotation>
+ <xsd:documentation>
+ A user friendly Subrack name.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="position">
+ <xsd:annotation>
+ <xsd:documentation>
+ The subrack position in the cabinet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="cabinetPosition">
+ <xsd:annotation>
+ <xsd:documentation>
+ The cabinet position in the site.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="location">
+ <xsd:annotation>
+ <xsd:documentation>
+ The site location, e.g. the visiting address.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availableOneGSlots">
+ <xsd:annotation>
+ <xsd:documentation>
+ Available slots for 1G switch links. Information taken from the type
+ of main switch blade that is created in the subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availableTenGSlots">
+ <xsd:annotation>
+ <xsd:documentation>
+ Available slots for 10G switch links. Information taken from the type
+ of main switch blade that is created in the subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="aggregateSlots">
+ <xsd:annotation>
+ <xsd:documentation>
+ Links ranges for (1G) link aggregation. Information taken from the
+ type of main switch blade that is created in the subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Slot" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This table corresponds to slots, there is one row in the table
+ for each slot. The slots are grouped per subrack. A slot is
+ identified by subrack Id and slot number). The subrack Id for a slot
+ identifies in the subrackTable the subrack that the slot belongs
+ to.
+
+ Key attributes: [number]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="number">
+ <xsd:annotation>
+ <xsd:documentation>
+ The value of this object identifies the
+ slot position within the subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="state" type="HwmSlotStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ See HwmSlotStateType description.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bladeSystem">
+ <xsd:annotation>
+ <xsd:documentation>
+ The value of this object identifies the Blade System that uses this
+ slot. An instances of this object will only have meaning in a
+ conceptual row if the value of hemSlotState instance is either
+ usedOccupied or usedEmpty in the same conceptual row,
+ i.e. there is an Blade System created using this slot. (If
+ no Blade System is using the slot, the value will be -1.)
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Software" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Top class of structure for SWM MOM.
+
+ Key attributes: []
+
+ Possible children:
+ Inventory
+ Jobs
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Inventory" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Subclass to top class Software. Parent of
+ Inventory classes:
+ SoftwareDeliveryPackage,
+ SoftwareGroup,
+ LocalBackup,
+ Alarm.
+ Inventory actions:
+ DownloadFile
+ ImportBackup
+ Only system created data for info and overview,
+ sometimes as a result of an action called by the
+ operator.
+
+ Key attributes: []
+
+ Possible children:
+ Alarm
+ LocalBackup
+ SoftwareDeliveryPackage
+ SoftwareGroup
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Alarm" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ MO of all alarms logged in the system that has not been
+ taken care of in a satisfactory way.
+
+ Key attributes: [alarmId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="alarmId" type="Unsigned32"/>
+ <xsd:element minOccurs="0" name="faultyBladeSystem">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="faultCause">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="faultInfo">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="LocalBackup" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ All available backups locally stored.
+
+ Key attributes: [localBackupId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="localBackupId" type="Unsigned32"/>
+ <xsd:element minOccurs="0" name="name">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bladeSystem">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="date">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType0">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="size" type="Unsigned32"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="SoftwareDeliveryPackage" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ MO for info about LoadModuleContainers, ie CXPs.
+
+ Key attributes: [ixProdNr,ixVersion]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="ixProdNr">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="ixVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="name">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="type" type="ContainerType"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="SoftwareGroup" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class reference to multiple MOs of class
+ SoftwareDeliveryPackage, ie the relationship between
+ CXPs and CXS.
+
+ Key attributes: [ixProdnr,ixVersion]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="ixProdnr">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="ixVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="name">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="systemProdNr">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="systemVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="systemName">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="integratedSystemProdNr">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="integratedSystemVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="integratedSystemName">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="relatedCXPs">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" minOccurs="0" maxOccurs="unbounded">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType18">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="status" type="SwgStatus"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Jobs" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Subclass to top class Software. Parent of
+ Jobs classes:
+ DownloadFile,
+ Upgrade,
+ Backup.
+ System created data through ordered job actions.
+
+ Key attributes: []
+
+ Possible children:
+ Backup
+ DownloadFile
+ Upgrade
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Backup" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Subclass to class Jobs. Parent of
+ Jobs classes:
+ Create,
+ Restore
+ Import,
+ Export.System created data through ordered backup job actions.
+
+ Key attributes: []
+
+ Possible children:
+ Create
+ Export
+ Import
+ Restore
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Create" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This MO handles creation of backups of the system.
+
+ Key attributes: [backupJobId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="backupJobId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="jobData" type="JobData"/>
+ <xsd:element minOccurs="0" name="backupId" type="Unsigned32"/>
+ <xsd:element minOccurs="0" name="targetBladeSystem">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="backupName">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Export" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This MO handles export of locally stored backup files to
+ the FTP server.
+
+ Key attributes: [exportJobId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="exportJobId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="jobData" type="JobData"/>
+ <xsd:element minOccurs="0" name="ftpData" type="FTPData"/>
+ <xsd:element minOccurs="0" name="backupId" type="Unsigned32"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Import" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This MO handles import of backup files from the FTP server.
+ The backup file is stored locally, but not loaded into the system.
+
+ Key attributes: [importJobId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="importJobId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="jobData" type="JobData"/>
+ <xsd:element minOccurs="0" name="ftpData" type="FTPData"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Restore" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This MO handles restore of backups of the system.
+
+ Key attributes: [restoreJobId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="restoreJobId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="jobData" type="JobData"/>
+ <xsd:element minOccurs="0" name="backupId" type="Unsigned32"/>
+ <xsd:element minOccurs="0" name="targetBladeSystem">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="backupName">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="DownloadFile" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This MO contains information about requested, ongoing,
+ and completed software file download jobs. To start a
+ download job the operator calls an action Inventory class,
+ and a new instance of this MO is created.
+ For load regulating reasons a requested job may not start
+ immediately.
+
+ Key attributes: [downloadFileJobId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="downloadFileJobId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="jobData" type="JobData"/>
+ <xsd:element minOccurs="0" name="ftpData" type="FTPData"/>
+ <xsd:element minOccurs="0" name="matchStrategy" type="MatchStrategy"/>
+ <xsd:element minOccurs="0" name="downloadStrategy" type="DownloadStrategy"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Upgrade" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This MO handles upgrade jobs towards the system. The operator
+ may specify a specific blade to run the upgrade on, instead of
+ running an upgrade on the entire blade system.
+
+ Key attributes: [upgradeJobId]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="upgradeJobId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="jobData" type="JobData"/>
+ <xsd:element minOccurs="0" name="bladeSystem">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="selectedSwgProdNr">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="selectedSwgVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="selectedCxrProdNr">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="selectedCxrVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="MaxTime" type="Unsigned32">
+ <xsd:annotation>
+ <xsd:documentation>
+ The maximum time allowed for the SW Upgrade
+ job to finish. After this time, the job will be interrupted.
+ The value 0 means that MaxTime will be given the
+ timer value registered with the Blade System Software Group
+ Version. If no such value has been registered,
+ MaxTime will be given a system default timer value.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bladeUgSpecification" type="BladeUgSpecification"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="NetworkConfiguration" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ The MO branch where the common Network resources are configured.
+
+ Key attributes: []
+
+ Possible children:
+ IsIpTrafficClass
+ IsLanTrafficClass
+ IsLogicalNetwork
+ IsVariables
+ IsVlan
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="IsIpTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name if the IS IP Traffic Class.
+ Unique within the entire Integrated Site.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the IS IP Traffic Class.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dscp">
+ <xsd:annotation>
+ <xsd:documentation>
+ DiffServ Code Point setting for this IS IP Traffic Class.
+ If there are two IS Ip Traffic Classes with the same DSCP, they
+ both must refer to an IS Lan Traffic Class with identical P-bit setting.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isLanTrafficClass">
+ <xsd:annotation>
+ <xsd:documentation>
+ Reference to a Managed Object of type ISLanTrafficClass.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType19">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="IsLanTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ An IS Lan Traffic Class may be shared between several Blade Systems.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the IS Lan Traffic Class.
+ Unique within the entire Integrated Site.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the IS Lan Traffic Class.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="pbits">
+ <xsd:annotation>
+ <xsd:documentation>
+ P-bit setting for this IS Lan Traffic Class.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType20">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="IsLogicalNetwork" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ An IS Logical Network contains one or more IS Subnets.
+ It may be shared between several Blade Systems.
+
+ Key attributes: [name]
+
+ Possible children:
+ IsSubnet
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the IS Logical Network.
+ Unique within the entire Integrated Site.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the IS logical network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="IsSubnet" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ An IS Subnet contains one or more IS Subnet Segments.
+ It may be shared between several Blade Systems.
+
+ Key attributes: [name]
+
+ Possible children:
+ IsSubnetSegment
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the IS Subnet. Unique within the IS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the IS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="address" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP network address of the IS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="mask">
+ <xsd:annotation>
+ <xsd:documentation>
+ Prefix length of the IP network address.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType21">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="broadcastAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ Broadcast address of the IS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isVlanId">
+ <xsd:annotation>
+ <xsd:documentation>
+ Identity of an IS VLAN.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="IsSubnetSegment" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ An IS Subnet Segment may be shared between several Blade Systems.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the IS Subnet Segment. Unique within the IS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the IS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="address" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP network address of the IS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="mask">
+ <xsd:annotation>
+ <xsd:documentation>
+ Prefix length of the IP network address.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType21">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="routerAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ Router address for the IS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dhcpEnabled">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that controls wheter DHCP should be enabled or not for this
+ IS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="IsVariables" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: []
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element minOccurs="0" name="updateMode">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType22">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dataModelVersion">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="major">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="minor">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="rlspMarkerGenerateInterval">
+ <xsd:annotation>
+ <xsd:documentation>
+ The RLSP marker generate interval in microseconds.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="timezoneDstRule">
+ <xsd:annotation>
+ <xsd:documentation>
+ Daylight savings rule in posix standard format.
+ Better description to be supplied later........and a regular expression
+ which describes the valid string format.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dnsDomain">
+ <xsd:annotation>
+ <xsd:documentation>
+ The dns domain used by IS in the DNS-server.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType23">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="notifyAfter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Number of seconds from that a new network configuration has been
+ published until the Blade Systems will apply the changes.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="defaultDhcpLeaseTime">
+ <xsd:annotation>
+ <xsd:documentation>
+ The default DHCP Lease time in seconds.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="lifeTimeDhcpLeaseTime">
+ <xsd:annotation>
+ <xsd:documentation>
+ The lifetime of a DHCP lease in seconds.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="IsVlan" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ An IS Vlan may be shared between several Blade Systems.
+
+ Key attributes: [identity]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="identity">
+ <xsd:annotation>
+ <xsd:documentation>
+ Identity of the IS Vlan. Unique within the entire Integrated Site.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType24">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the IS Vlan.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BladeSystems" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ The BladeSystems mountpoint - parent of all blade systems.
+
+ Key attributes: []
+
+ Possible children:
+ BladeSystemTemplate
+ Mxb
+ MyBsModel
+ Sis
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Mxb" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes a Blade System. It will be cloned for each
+ new blade system type registered in the system. The new clone
+ will serve as a mountpoint for the blade system specific model.
+
+ Key attributes: [name]
+
+ Possible children:
+ Blade
+ BsIpTrafficClass
+ BsLanTrafficClass
+ BsLogicalNetwork
+ BsVlan
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ A user friendly Blade System name, must be set at creation and can be
+ changed when BS is locked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="no">
+ <xsd:annotation>
+ <xsd:documentation>
+ An identifier for the BS. A new integer has to be used every time a new
+ BS is created. ??? Kvar ???
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="softwareGroupId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The identity of the Software Group (SWG) that the BS implements.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="softwareGroupRevision">
+ <xsd:annotation>
+ <xsd:documentation>
+ The revision of the Software Group (SWG) that the BS implements.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="admState" type="HwmAdministrativeStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The administrative state of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="opState" type="HwmOperationalStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The operational state of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availStatus" type="HwmAvailabilityStatusType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The availability status of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="locked">
+ <xsd:annotation>
+ <xsd:documentation>
+ eller s�tta admState ????????????
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsomAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address of the Blade System OaM Master.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element name="Blade" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes a Blade.
+ A Blade is identified by the subrack and slot number.
+
+ Key attributes: [subrackId,slotNo]
+
+ Possible children:
+ LinkSap
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackId">
+ <xsd:annotation>
+ <xsd:documentation>
+ A reference to the associated subrack (i.e. the value of 'subrackId'
+ for the associated subrack)
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType16">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="slotNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The slot number for this Blade within the subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="slotLabel">
+ <xsd:annotation>
+ <xsd:documentation>
+ The slot label of the blade. Will only be set at creation of table row.
+ This should be used in alarms related to the slot to inform the
+ operator of what position in the subrack the blade is located in.
+ E.g. for GEM subracks it will be a string like X02 for slot 0
+ up to X80 for slot 25.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ An identifier for the BS to which the blade belongs.
+ A value of 0 means that the blade is inserted in the subrack but it
+ has not been configured to any BS yet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="type">
+ <xsd:annotation>
+ <xsd:documentation>
+ The type of the Blade defined by a string BladTypeIdAndRev.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgLowestId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgLowestRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgActualId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgActualRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsom">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="knockOutType" type="HwmKnockOutType">
+ <xsd:annotation>
+ <xsd:documentation>
+ Set to protected if blade sholud no be knocked out before the
+ switch blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="userLabel">
+ <xsd:annotation>
+ <xsd:documentation>
+ A user friendly Blade name, always possible to set.
+ Default value indicates HwmBladeType and used slot.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="admState" type="HwmAdministrativeStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The administrative state of the Blade. The Blade is
+ unblocked by setting this object to unlocked and blocked
+ by setting it to locked. If the object already has the
+ desired value or the hwmBladeOpState has a transient OpState value
+ the set operation will be rejected. The set operation may be
+ rejected due to other reasons as well.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="opState" type="HwmOperationalStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The operational state of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availState" type="HwmAvailabilityStatusType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The availability status of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="busType" type="HwmBusTypeType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The type of maintenance bus on the found Blade
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Number of the found Blade
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Revision of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="serialNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Serial Number of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodName">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Name of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="vendor">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Vendor name of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="mfgDate">
+ <xsd:annotation>
+ <xsd:documentation>
+ The manufacturing date of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressAggregate" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The aggregated MAC address of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressLeft" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The left link MAC address of the found Blade. Only used by some blades
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressRight" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The right link MAC address of the found Blade. Only used by some blades
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="changeDate">
+ <xsd:annotation>
+ <xsd:documentation>
+ The date when the blade was last changed.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="lock">
+ <xsd:annotation>
+ <xsd:documentation>
+ Borde kanske varfa en �ndring av admstate.????
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ The name of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isbsAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISBS subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isobAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISOB subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="islctAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISLCT subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element name="LinkSap" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ A Blade may have one or more resilient interfaces to the backplane. Such
+ interface is called Link Service Access Point and are automatically
+ created and deleted along with the hosting blade.
+
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:annotation>
+ <xsd:documentation>
+ The id of a LinkSap is a number from 0 to X within the slot.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the Link Service Access Point.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsIpTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isIpTrafficClassRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType25">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsLanTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isLanTrafficClassRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType19">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsLogicalNetwork" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [name]
+
+ Possible children:
+ BsSubnet
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS logical network. Unique within the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="private">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that shows whether the BS Logical Network is private for this
+ Blade System or if it may be shared among several Blade Systems.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isLogicalNetworkRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ Reference to the corresponding IS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType26">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsSubnet" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS Subnets can either be created manually by the operator or they
+ can be automatically created from pre-registered network requirements
+ bundled with the Blade System software.
+ Regardless of how they are created,
+ each one needs to be mapped to both a BS VLan and an
+ IS Subnet before blades can be unlocked via the Hardware service.
+ Each BS Subnet contains one or more BS Subnet Segments.
+
+ Key attributes: [name]
+
+ Possible children:
+ BsSubnetSegment
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Subnet. Unique within the BS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsVlanRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType27">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isSubnetRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType28">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsSubnetSegment" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS Subnet Segments can either be created manually by you or they can
+ be automatically created from pre-registered network requirements
+ bundled with the Blade System software. Regardless of how they are created,
+ each one needs to be mapped to an IS Subnet Segment before the blades
+ can be unlocked via the Hardware service.
+ The mapping is done with the 'isSubnetSegmentRef' attribute.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Subnet Segment. Unique within the BS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="numOfIpaddresses">
+ <xsd:annotation>
+ <xsd:documentation>
+ Number of required IP addresses in the IS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dhcpEnabled">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that controls whether the corresponding IS Subnet Segment is
+ required to have DHCP enabled or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="routerRequired">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that controls whether the corresponding IS Subnet Segment is
+ required to have a router configured or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isSubnetSegmentRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ The mapping to a corresponding IS Subnet Segment. The mapping here must
+ be done before the blades of the blade system can be unlocked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType29">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsVlan" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS VLANs can either be created manually by the
+ operator or they can be automatically created from pre-registered
+ network requirements bundled with the Blade System software.
+ Regardless of how they are created, each one needs to be mapped to
+ an IS VLAN before the blades can be unlocked.
+
+ Key attributes: [name]
+
+ Possible children:
+ BsVlanSap
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Vlan. Unique within the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Vlan.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isVlanRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ The mapping to a corresponding IS Vlan. This mapping must be done before
+ the blades of the Blade System can be unlocked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType30">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsVlanSap" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS VLAN Service Access Points can either be created manually by the
+ operator or they can be automatically created from pre-registered
+ network requirements bundled with the Blade System software.
+ Regardless of how they are created, each one needs to be mapped to
+ an Link SAP before the blades can be unlocked.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS VLAN SAP. Uniqie within the BS VLAN.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS VLAN SAP.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="static">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag which controls whether this BS VLAN SAP makes static or dynamic
+ use of the corresponding IS VLAN.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="protected">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag which controls whether this BS VLAN SAP should be
+ isolation protected or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="linkSapRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ A mapping to the corresponding Link Service Access Point.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType31">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="MyBsModel" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes a Blade System. It will be cloned for each
+ new blade system type registered in the system. The new clone
+ will serve as a mountpoint for the blade system specific model.
+
+ Key attributes: [name]
+
+ Possible children:
+ Blade
+ BsIpTrafficClass
+ BsLanTrafficClass
+ BsLogicalNetwork
+ BsVlan
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ A user friendly Blade System name, must be set at creation and can be
+ changed when BS is locked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="no">
+ <xsd:annotation>
+ <xsd:documentation>
+ An identifier for the BS. A new integer has to be used every time a new
+ BS is created. ??? Kvar ???
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="softwareGroupId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The identity of the Software Group (SWG) that the BS implements.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="softwareGroupRevision">
+ <xsd:annotation>
+ <xsd:documentation>
+ The revision of the Software Group (SWG) that the BS implements.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="admState" type="HwmAdministrativeStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The administrative state of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="opState" type="HwmOperationalStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The operational state of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availStatus" type="HwmAvailabilityStatusType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The availability status of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="locked">
+ <xsd:annotation>
+ <xsd:documentation>
+ eller s�tta admState ????????????
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsomAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address of the Blade System OaM Master.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element name="Blade" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes a Blade.
+ A Blade is identified by the subrack and slot number.
+
+ Key attributes: [subrackId,slotNo]
+
+ Possible children:
+ LinkSap
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackId">
+ <xsd:annotation>
+ <xsd:documentation>
+ A reference to the associated subrack (i.e. the value of 'subrackId'
+ for the associated subrack)
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType16">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="slotNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The slot number for this Blade within the subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="slotLabel">
+ <xsd:annotation>
+ <xsd:documentation>
+ The slot label of the blade. Will only be set at creation of table row.
+ This should be used in alarms related to the slot to inform the
+ operator of what position in the subrack the blade is located in.
+ E.g. for GEM subracks it will be a string like X02 for slot 0
+ up to X80 for slot 25.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ An identifier for the BS to which the blade belongs.
+ A value of 0 means that the blade is inserted in the subrack but it
+ has not been configured to any BS yet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="type">
+ <xsd:annotation>
+ <xsd:documentation>
+ The type of the Blade defined by a string BladTypeIdAndRev.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgLowestId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgLowestRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgActualId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgActualRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsom">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="knockOutType" type="HwmKnockOutType">
+ <xsd:annotation>
+ <xsd:documentation>
+ Set to protected if blade sholud no be knocked out before the
+ switch blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="userLabel">
+ <xsd:annotation>
+ <xsd:documentation>
+ A user friendly Blade name, always possible to set.
+ Default value indicates HwmBladeType and used slot.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="admState" type="HwmAdministrativeStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The administrative state of the Blade. The Blade is
+ unblocked by setting this object to unlocked and blocked
+ by setting it to locked. If the object already has the
+ desired value or the hwmBladeOpState has a transient OpState value
+ the set operation will be rejected. The set operation may be
+ rejected due to other reasons as well.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="opState" type="HwmOperationalStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The operational state of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availState" type="HwmAvailabilityStatusType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The availability status of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="busType" type="HwmBusTypeType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The type of maintenance bus on the found Blade
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Number of the found Blade
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Revision of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="serialNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Serial Number of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodName">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Name of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="vendor">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Vendor name of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="mfgDate">
+ <xsd:annotation>
+ <xsd:documentation>
+ The manufacturing date of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressAggregate" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The aggregated MAC address of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressLeft" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The left link MAC address of the found Blade. Only used by some blades
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressRight" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The right link MAC address of the found Blade. Only used by some blades
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="changeDate">
+ <xsd:annotation>
+ <xsd:documentation>
+ The date when the blade was last changed.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="lock">
+ <xsd:annotation>
+ <xsd:documentation>
+ Borde kanske varfa en �ndring av admstate.????
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ The name of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isbsAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISBS subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isobAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISOB subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="islctAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISLCT subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element name="LinkSap" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ A Blade may have one or more resilient interfaces to the backplane. Such
+ interface is called Link Service Access Point and are automatically
+ created and deleted along with the hosting blade.
+
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:annotation>
+ <xsd:documentation>
+ The id of a LinkSap is a number from 0 to X within the slot.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the Link Service Access Point.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsIpTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isIpTrafficClassRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType25">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsLanTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isLanTrafficClassRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType19">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsLogicalNetwork" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [name]
+
+ Possible children:
+ BsSubnet
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS logical network. Unique within the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="private">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that shows whether the BS Logical Network is private for this
+ Blade System or if it may be shared among several Blade Systems.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isLogicalNetworkRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ Reference to the corresponding IS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType26">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsSubnet" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS Subnets can either be created manually by the operator or they
+ can be automatically created from pre-registered network requirements
+ bundled with the Blade System software.
+ Regardless of how they are created,
+ each one needs to be mapped to both a BS VLan and an
+ IS Subnet before blades can be unlocked via the Hardware service.
+ Each BS Subnet contains one or more BS Subnet Segments.
+
+ Key attributes: [name]
+
+ Possible children:
+ BsSubnetSegment
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Subnet. Unique within the BS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsVlanRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType27">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isSubnetRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType28">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsSubnetSegment" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS Subnet Segments can either be created manually by you or they can
+ be automatically created from pre-registered network requirements
+ bundled with the Blade System software. Regardless of how they are created,
+ each one needs to be mapped to an IS Subnet Segment before the blades
+ can be unlocked via the Hardware service.
+ The mapping is done with the 'isSubnetSegmentRef' attribute.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Subnet Segment. Unique within the BS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="numOfIpaddresses">
+ <xsd:annotation>
+ <xsd:documentation>
+ Number of required IP addresses in the IS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dhcpEnabled">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that controls whether the corresponding IS Subnet Segment is
+ required to have DHCP enabled or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="routerRequired">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that controls whether the corresponding IS Subnet Segment is
+ required to have a router configured or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isSubnetSegmentRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ The mapping to a corresponding IS Subnet Segment. The mapping here must
+ be done before the blades of the blade system can be unlocked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType29">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsVlan" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS VLANs can either be created manually by the
+ operator or they can be automatically created from pre-registered
+ network requirements bundled with the Blade System software.
+ Regardless of how they are created, each one needs to be mapped to
+ an IS VLAN before the blades can be unlocked.
+
+ Key attributes: [name]
+
+ Possible children:
+ BsVlanSap
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Vlan. Unique within the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Vlan.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isVlanRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ The mapping to a corresponding IS Vlan. This mapping must be done before
+ the blades of the Blade System can be unlocked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType30">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsVlanSap" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS VLAN Service Access Points can either be created manually by the
+ operator or they can be automatically created from pre-registered
+ network requirements bundled with the Blade System software.
+ Regardless of how they are created, each one needs to be mapped to
+ an Link SAP before the blades can be unlocked.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS VLAN SAP. Uniqie within the BS VLAN.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS VLAN SAP.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="static">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag which controls whether this BS VLAN SAP makes static or dynamic
+ use of the corresponding IS VLAN.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="protected">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag which controls whether this BS VLAN SAP should be
+ isolation protected or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="linkSapRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ A mapping to the corresponding Link Service Access Point.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType31">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element ref="MyBsModel:model" minOccurs="0" maxOccurs="1"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Sis" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes a Blade System. It will be cloned for each
+ new blade system type registered in the system. The new clone
+ will serve as a mountpoint for the blade system specific model.
+
+ Key attributes: [name]
+
+ Possible children:
+ Blade
+ BsIpTrafficClass
+ BsLanTrafficClass
+ BsLogicalNetwork
+ BsVlan
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ A user friendly Blade System name, must be set at creation and can be
+ changed when BS is locked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="no">
+ <xsd:annotation>
+ <xsd:documentation>
+ An identifier for the BS. A new integer has to be used every time a new
+ BS is created. ??? Kvar ???
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="softwareGroupId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The identity of the Software Group (SWG) that the BS implements.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="softwareGroupRevision">
+ <xsd:annotation>
+ <xsd:documentation>
+ The revision of the Software Group (SWG) that the BS implements.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="admState" type="HwmAdministrativeStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The administrative state of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="opState" type="HwmOperationalStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The operational state of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availStatus" type="HwmAvailabilityStatusType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The availability status of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="locked">
+ <xsd:annotation>
+ <xsd:documentation>
+ eller s�tta admState ????????????
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsomAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address of the Blade System OaM Master.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element name="Blade" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes a Blade.
+ A Blade is identified by the subrack and slot number.
+
+ Key attributes: [subrackId,slotNo]
+
+ Possible children:
+ LinkSap
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackId">
+ <xsd:annotation>
+ <xsd:documentation>
+ A reference to the associated subrack (i.e. the value of 'subrackId'
+ for the associated subrack)
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType16">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="slotNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The slot number for this Blade within the subrack.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="slotLabel">
+ <xsd:annotation>
+ <xsd:documentation>
+ The slot label of the blade. Will only be set at creation of table row.
+ This should be used in alarms related to the slot to inform the
+ operator of what position in the subrack the blade is located in.
+ E.g. for GEM subracks it will be a string like X02 for slot 0
+ up to X80 for slot 25.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ An identifier for the BS to which the blade belongs.
+ A value of 0 means that the blade is inserted in the subrack but it
+ has not been configured to any BS yet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="type">
+ <xsd:annotation>
+ <xsd:documentation>
+ The type of the Blade defined by a string BladTypeIdAndRev.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgLowestId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgLowestRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgActualId">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="swgActualRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The SW group of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsom">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="knockOutType" type="HwmKnockOutType">
+ <xsd:annotation>
+ <xsd:documentation>
+ Set to protected if blade sholud no be knocked out before the
+ switch blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="userLabel">
+ <xsd:annotation>
+ <xsd:documentation>
+ A user friendly Blade name, always possible to set.
+ Default value indicates HwmBladeType and used slot.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="admState" type="HwmAdministrativeStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The administrative state of the Blade. The Blade is
+ unblocked by setting this object to unlocked and blocked
+ by setting it to locked. If the object already has the
+ desired value or the hwmBladeOpState has a transient OpState value
+ the set operation will be rejected. The set operation may be
+ rejected due to other reasons as well.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="opState" type="HwmOperationalStateType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The operational state of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="availState" type="HwmAvailabilityStatusType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The availability status of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="busType" type="HwmBusTypeType">
+ <xsd:annotation>
+ <xsd:documentation>
+ The type of maintenance bus on the found Blade
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Number of the found Blade
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodRev">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Revision of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="serialNo">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Serial Number of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="prodName">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Product Name of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="vendor">
+ <xsd:annotation>
+ <xsd:documentation>
+ The Vendor name of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="mfgDate">
+ <xsd:annotation>
+ <xsd:documentation>
+ The manufacturing date of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressAggregate" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The aggregated MAC address of the found Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressLeft" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The left link MAC address of the found Blade. Only used by some blades
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="macAddressRight" type="IsTypes:MacAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ The right link MAC address of the found Blade. Only used by some blades
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="changeDate">
+ <xsd:annotation>
+ <xsd:documentation>
+ The date when the blade was last changed.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="lock">
+ <xsd:annotation>
+ <xsd:documentation>
+ Borde kanske varfa en �ndring av admstate.????
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ The name of the Blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the blade.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isbsAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISBS subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isobAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISOB subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="islctAddress" type="IsTypes:IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ IP address on the ISLCT subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element name="LinkSap" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ A Blade may have one or more resilient interfaces to the backplane. Such
+ interface is called Link Service Access Point and are automatically
+ created and deleted along with the hosting blade.
+
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:annotation>
+ <xsd:documentation>
+ The id of a LinkSap is a number from 0 to X within the slot.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the Link Service Access Point.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsIpTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isIpTrafficClassRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType25">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsLanTrafficClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isLanTrafficClassRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType19">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsLogicalNetwork" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ Key attributes: [name]
+
+ Possible children:
+ BsSubnet
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS logical network. Unique within the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="private">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that shows whether the BS Logical Network is private for this
+ Blade System or if it may be shared among several Blade Systems.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isLogicalNetworkRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ Reference to the corresponding IS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType26">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsSubnet" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS Subnets can either be created manually by the operator or they
+ can be automatically created from pre-registered network requirements
+ bundled with the Blade System software.
+ Regardless of how they are created,
+ each one needs to be mapped to both a BS VLan and an
+ IS Subnet before blades can be unlocked via the Hardware service.
+ Each BS Subnet contains one or more BS Subnet Segments.
+
+ Key attributes: [name]
+
+ Possible children:
+ BsSubnetSegment
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Subnet. Unique within the BS Logical Network.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bsVlanRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType27">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isSubnetRef">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType28">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsSubnetSegment" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS Subnet Segments can either be created manually by you or they can
+ be automatically created from pre-registered network requirements
+ bundled with the Blade System software. Regardless of how they are created,
+ each one needs to be mapped to an IS Subnet Segment before the blades
+ can be unlocked via the Hardware service.
+ The mapping is done with the 'isSubnetSegmentRef' attribute.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Subnet Segment. Unique within the BS Subnet.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="numOfIpaddresses">
+ <xsd:annotation>
+ <xsd:documentation>
+ Number of required IP addresses in the IS Subnet Segment.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dhcpEnabled">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that controls whether the corresponding IS Subnet Segment is
+ required to have DHCP enabled or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="routerRequired">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag that controls whether the corresponding IS Subnet Segment is
+ required to have a router configured or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isSubnetSegmentRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ The mapping to a corresponding IS Subnet Segment. The mapping here must
+ be done before the blades of the blade system can be unlocked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType29">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsVlan" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS VLANs can either be created manually by the
+ operator or they can be automatically created from pre-registered
+ network requirements bundled with the Blade System software.
+ Regardless of how they are created, each one needs to be mapped to
+ an IS VLAN before the blades can be unlocked.
+
+ Key attributes: [name]
+
+ Possible children:
+ BsVlanSap
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS Vlan. Unique within the Blade System.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS Vlan.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="isVlanRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ The mapping to a corresponding IS Vlan. This mapping must be done before
+ the blades of the Blade System can be unlocked.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType30">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="BsVlanSap" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ BS VLAN Service Access Points can either be created manually by the
+ operator or they can be automatically created from pre-registered
+ network requirements bundled with the Blade System software.
+ Regardless of how they are created, each one needs to be mapped to
+ an Link SAP before the blades can be unlocked.
+
+ Key attributes: [name]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="name">
+ <xsd:annotation>
+ <xsd:documentation>
+ Name of the BS VLAN SAP. Uniqie within the BS VLAN.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Short description of the BS VLAN SAP.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="static">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag which controls whether this BS VLAN SAP makes static or dynamic
+ use of the corresponding IS VLAN.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="protected">
+ <xsd:annotation>
+ <xsd:documentation>
+ Flag which controls whether this BS VLAN SAP should be
+ isolation protected or not.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="linkSapRef">
+ <xsd:annotation>
+ <xsd:documentation>
+ A mapping to the corresponding Link Service Access Point.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType31">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element ref="MainSwitch:model" minOccurs="0" maxOccurs="1"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:simpleType name="ccsHelperType31">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*LinkSap\[id='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType30">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*IsVlan\[identity='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType29">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*IsSubnetSegment\[name='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType28">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*IsSubnet\[name='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType27">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*BsVlan\[name='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType26">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*IsLogicalNetwork\[name='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType25">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*IsIpTrafficClass\[name='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType24">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="4096"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType23">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="[a-zA-Z]+(.[a-zA-Z])*"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType22">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="unsafe"/>
+ <xsd:enumeration value="readOnly"/>
+ <xsd:enumeration value="safe"/>
+ <xsd:enumeration value="preliminary"/>
+ <xsd:enumeration value="prepare"/>
+ <xsd:enumeration value="publish"/>
+ <xsd:enumeration value="accept"/>
+ <xsd:enumeration value="reject"/>
+ <xsd:enumeration value="purge"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType21">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="32"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType20">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="7"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType19">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*IsLanTrafficClass\[name='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType18">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*SoftwareDeliveryPackage\[ixProdNr='[^\]]+'\]\[ixVersion='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType17">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="25"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType16">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="31"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType15">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="unsuedEmpty"/>
+ <xsd:enumeration value="usedEmpty"/>
+ <xsd:enumeration value="unusedOccupied"/>
+ <xsd:enumeration value="usedOccupied"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType14">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="available"/>
+ <xsd:enumeration value="failed"/>
+ <xsd:enumeration value="offLine"/>
+ <xsd:enumeration value="dependency"/>
+ <xsd:enumeration value="degraded"/>
+ <xsd:enumeration value="upgrading"/>
+ <xsd:enumeration value="startingUp"/>
+ <xsd:enumeration value="goingOffLine"/>
+ <xsd:enumeration value="notInstalled"/>
+ <xsd:enumeration value="powerOff"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType13">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="notStarted"/>
+ <xsd:enumeration value="ongoing"/>
+ <xsd:enumeration value="complete"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType12">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="onlyIfMissing"/>
+ <xsd:enumeration value="always"/>
+ <xsd:enumeration value="unknown"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType11">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="exact"/>
+ <xsd:enumeration value="prefix"/>
+ <xsd:enumeration value="unknown"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType10">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="bladeSystemInformation"/>
+ <xsd:enumeration value="bladeInformation"/>
+ <xsd:enumeration value="application"/>
+ <xsd:enumeration value="rootFileSystem"/>
+ <xsd:enumeration value="kernel"/>
+ <xsd:enumeration value="correction"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType9">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="locked"/>
+ <xsd:enumeration value="shuttingdown"/>
+ <xsd:enumeration value="unlocked"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType8">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="ok"/>
+ <xsd:enumeration value="inputError"/>
+ <xsd:enumeration value="executionError"/>
+ <xsd:enumeration value="unknown"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType7">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="4294967295"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType6">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="ipmi"/>
+ <xsd:enumeration value="mbus"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType5">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="complete"/>
+ <xsd:enumeration value="incomplete"/>
+ <xsd:enumeration value="inconsistent"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType4">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="disabled"/>
+ <xsd:enumeration value="enabled"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType3">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="normal"/>
+ <xsd:enumeration value="protected"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType2">
+ <xsd:restriction base="xsd:boolean">
+ <xsd:pattern value="(true|false)"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType1">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType0">
+ <xsd:restriction base="xsd:dateTime">
+ <xsd:whiteSpace value="collapse"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+</xsd:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IsTypes.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IsTypes.xsd
new file mode 100644
index 0000000000..a9607e4253
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/IsTypes.xsd
@@ -0,0 +1,42 @@
+<?xml version="1.0" encoding="iso-8859-1"?>
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema" targetNamespace="http://www.ericsson.com/is/isco/IsTypes" xmlns="http://www.ericsson.com/is/isco/IsTypes" xmlns:nc="http://www.ericsson.com/is/isco/nc">
+ <xsd:annotation>
+ <xsd:appinfo>
+ <mim file="types_mim.xml" version=""/>
+ </xsd:appinfo>
+ </xsd:annotation>
+ <xsd:import schemaLocation="nc.xsd" namespace="http://www.ericsson.com/is/isco/nc"/>
+ <xsd:complexType name="IpAddress">
+ <xsd:annotation>
+ <xsd:documentation>
+ An IPv4 address
+ in the form v1.v2.v3.v4 where v1 ... v4 is a
+ decimal number in the range 0 to 255.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType0">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="MacAddress">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:simpleType name="ccsHelperType1">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="[A-F0-9][A-F0-9]?:[A-F0-9][A-F0-9]?:[A-F0-9][A-F0-9]?:[A-F0-9][A-F0-9]?:[A-F0-9][A-F0-9]?:[A-F0-9][A-F0-9]?"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType0">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])\.(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])\.(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])\.(25[0-5]|2[0-4][0-9]|1[0-9][0-9]|[1-9]?[0-9])"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+</xsd:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MainSwitch_1.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MainSwitch_1.xsd
new file mode 100644
index 0000000000..9c91d6efd6
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MainSwitch_1.xsd
@@ -0,0 +1,1496 @@
+<?xml version="1.0" encoding="iso-8859-1"?>
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema" targetNamespace="http://www.ericsson.com/is/isco/MainSwitch_1" xmlns="http://www.ericsson.com/is/isco/MainSwitch_1" xmlns:nc="http://www.ericsson.com/is/isco/nc">
+ <xsd:annotation>
+ <xsd:appinfo>
+ <mim file="mx_mim.xml" version=""/>
+ </xsd:appinfo>
+ </xsd:annotation>
+ <xsd:import schemaLocation="nc.xsd" namespace="http://www.ericsson.com/is/isco/nc"/>
+ <xsd:complexType name="SubrackNum">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType0">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="LinkAggregationData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of Link Aggregation Data
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="aggrPortActorPort">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="aggrPortOperState">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="LinkAggregationOperStateData" minOccurs="8" maxOccurs="8"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="ifIndex">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="mode">
+ <xsd:annotation>
+ <xsd:documentation>
+ Port Channel Mode
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="configuredPorts">
+ <xsd:annotation>
+ <xsd:documentation>
+ Number of configured ports for this interface.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="activePorts">
+ <xsd:annotation>
+ <xsd:documentation>
+ Number of active ports for this interface.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="macSelectionPolicy">
+ <xsd:annotation>
+ <xsd:documentation>
+ MAC Selection Policy
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="linkSelectionPolicy">
+ <xsd:annotation>
+ <xsd:documentation>
+ Link Selection Policy
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType3">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="aggregatorMac">
+ <xsd:annotation>
+ <xsd:documentation>
+ MAC address of Aggregator
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="actorId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="actorPrio">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="actorAdminKey">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="actorOperKey">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="partnerId">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="partnerPrio">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="partnerOperKey">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="PercentUsage">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType5">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="BladeSlotLink">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType6">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="CosQueueConfigurationData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of COS configuration data
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="queue">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="buffer" type="PercentUsage">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates allocated buffer in percent
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element name="scheduling">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates if Sceduling is Weighted Round Robin (WRR) or Strict Priority
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType8">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="weight">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates if Weight in case of WRR is High, Medium or Low
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType9">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="VlanData">
+ <xsd:annotation>
+ <xsd:documentation>
+ This struct describes Vlan data
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="vlanId">
+ <xsd:annotation>
+ <xsd:documentation>
+ Vlan Id
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType10">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="vlanType">
+ <xsd:annotation>
+ <xsd:documentation>
+ Type of Vlan
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType11">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="isolationStatus">
+ <xsd:annotation>
+ <xsd:documentation>
+ Isolation status
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType12">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="StatisticCounter">
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="PbitQueue">
+ <xsd:sequence>
+ <xsd:element name="pbitKey">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="queueValue">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="LinkAggregationOperStateData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of Link Aggregation Oper State Data
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="lacpActivity">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates LACP activity
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType13">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="lacpTimeout">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates if LACP timeout is long or short
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType14">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="aggregation">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates if Individual or Aggregatable
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType15">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="syncronization">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates if Syncronized or not
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType16">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="collecting">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="distributing">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="defaulted">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="expired">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="MxbSlot">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType18">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="TresholdPercent">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType19">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="PbitToQueueMapping">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of Pbit to queue mapping
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="bladeSlotLink" type="BladeSlotLink"/>
+ <xsd:element name="interface">
+ <xsd:annotation>
+ <xsd:documentation>
+ Interface number
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="pbitQueue">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="PbitQueue" minOccurs="8" maxOccurs="8"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="VlanIds">
+ <xsd:sequence>
+ <xsd:element name="vlanId">
+ <xsd:annotation>
+ <xsd:documentation>
+ Vlan Id
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType10">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:element name="model">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Vlan" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Administrative and Operative Vlans
+
+ Key attributes: []
+
+ Possible children:
+ VlanAdmin
+ VlanOper
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="VlanAdmin" minOccurs="0" maxOccurs="31">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class shows the Administrative configured Vlans
+
+ Key attributes: [subrackNum]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element minOccurs="0" name="numberOfDefinedVlans">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="vlanIds">
+ <xsd:annotation>
+ <xsd:documentation>
+ Information about defined Vlan Ids
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="VlanIds" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="VlanOper" minOccurs="0" maxOccurs="31">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class shows the Operative Vlans
+
+ Key attributes: [subrackNum]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element minOccurs="0" name="numberOfActiveVlans">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="vlanIds">
+ <xsd:annotation>
+ <xsd:documentation>
+ Information about operative Vlan Ids
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="VlanIds" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Cos" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes the Class of Service function.
+
+ Key attributes: []
+
+ Possible children:
+ Pbit
+ Queue
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element minOccurs="0" name="administrativeQueueConfiguration">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of administrative Queue Configuration Data
+ to be applied for each subrack (or whole system?)
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="CosQueueConfigurationData" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="administrativePbitToQueueMapping">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of administrative Pbit to Queue mapping.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="PbitToQueueMapping" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="operationalQueueConfiguration">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description operational Queue Configuration Data
+ to be applied for actual subrack and Mxb.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="CosQueueConfigurationData" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="operationalPbitToQueueMapping">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of operational Pbit to Queue mapping.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="PbitToQueueMapping" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Pbit" minOccurs="0" maxOccurs="8">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class is used to set the Pbit to queue table.
+
+ Key attributes: [bladeSlotLink]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="bladeSlotLink" type="BladeSlotLink"/>
+ <xsd:element minOccurs="0" name="pbitQueue">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="PbitQueue" minOccurs="8" maxOccurs="8"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Queue" minOccurs="0" maxOccurs="8">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class is used to set the Queue Data table.
+
+ Key attributes: [queueKey]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="queueKey">
+ <xsd:annotation>
+ <xsd:documentation>
+ Queue value 0 to 7.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="queueData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Queue Configuration Data to be applied for the whole system.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="CosQueueConfigurationData" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="State" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes data to be read for a Mxb.
+
+ Key attributes: []
+
+ Possible children:
+ StateBlade
+ StateLink
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="StateBlade" minOccurs="0" maxOccurs="64">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes data related to a specific Mxb.
+
+ Key attributes: [subrackNum,mxbSlot]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element name="mxbSlot" type="MxbSlot"/>
+ <xsd:element minOccurs="0" name="description">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of type of Mxb.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="ipAddress">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="upTime">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="remoteMxbHost">
+ <xsd:annotation>
+ <xsd:documentation>
+ Indicates if the other Mxb in the subrack is present or absent.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="executingSoftwareVersion">
+ <xsd:annotation>
+ <xsd:documentation>
+ Software version executing.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="flashLoadedSoftwareVersion">
+ <xsd:annotation>
+ <xsd:documentation>
+ Software version in flash memory.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="fallbackSoftwareVersion">
+ <xsd:annotation>
+ <xsd:documentation>
+ Fallback software version.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="ipmiFirmwareVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bootLoaderVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="hwVersion">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="StateLink" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes actual state data for a specific link
+ related to the Mxb.
+
+ Key attributes: []
+
+ Possible children:
+ StateLa
+ StateVlan
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="StateLa" minOccurs="0" maxOccurs="1536">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes the state of the Blade Slot Links with
+ regards to Link Aggregation info.
+
+ Key attributes: [subrackNum,bladeSlotLink,mxbSlot]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element name="bladeSlotLink" type="BladeSlotLink"/>
+ <xsd:element name="mxbSlot" type="MxbSlot"/>
+ <xsd:element minOccurs="0" name="linkAggregationData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of Link Aggregation info for
+ actual subrack, Mxb and Blade Slot Link.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="LinkAggregationData" minOccurs="16" maxOccurs="16"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="StateVlan" minOccurs="0" maxOccurs="768">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes the state of the Blade Slot Links with
+ regards to Vlan info.
+
+ Key attributes: [subrackNum,bladeSlotLink]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element name="bladeSlotLink" type="BladeSlotLink"/>
+ <xsd:element minOccurs="0" name="vlanData">
+ <xsd:annotation>
+ <xsd:documentation>
+ Description of Vlans for actual subrack and Blade Slot Link
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="VlanData" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="Pm" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes the Performance Monitoring function.
+
+ Key attributes: []
+
+ Possible children:
+ PmBladeMeasure
+ PmLink
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element minOccurs="0" name="cpuUpperTreshold" type="TresholdPercent">
+ <xsd:annotation>
+ <xsd:documentation>
+ Configuration of CPU upper treshold, i.e. when the CPU load
+ is rising and crosses this treshold then the PMON function
+ in ISS will raise a CPU alarm.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="cpuLowerTreshold" type="TresholdPercent">
+ <xsd:annotation>
+ <xsd:documentation>
+ CPU lower treshold, i.e. when the CPU load
+ is falling and crosses this treshold then the
+ PM function in ISS will cease the CPU alarm.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="cpuUsageSamplingPeriod">
+ <xsd:annotation>
+ <xsd:documentation>
+ The sampling period during which CPU usage is measured.
+ This period is given in seconds.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="memoryUpperTreshold">
+ <xsd:annotation>
+ <xsd:documentation>
+ Memory upper treshold, i.e. when the Memory usage
+ is rising and crosses this treshold then the PM
+ function in ISS will raise a Memory alarm.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="memoryLowerTreshold" type="TresholdPercent">
+ <xsd:annotation>
+ <xsd:documentation>
+ Memory lower treshold, i.e. when the Memory usage
+ is falling and crosses this treshold then the PM
+ function in ISS will cease the Memory alarm.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bandwidthUpperTreshold" type="TresholdPercent">
+ <xsd:annotation>
+ <xsd:documentation>
+ Bandwidth upper treshold, i.e. when the Bandwidth
+ usage is rising and crosses this treshold then the PM
+ function in ISS will raise a Bandwidth alarm.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bandwidthLowerTreshold" type="TresholdPercent">
+ <xsd:annotation>
+ <xsd:documentation>
+ Bandwidth lower treshold, i.e. when the Bandwidth usage
+ is falling and crosses this treshold then the PM function
+ in ISS will cease the Bandwidth alarm.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bandwidthUsageSamplingPeriod">
+ <xsd:annotation>
+ <xsd:documentation>
+ The sampling period during which Bandwidth usage is measured.
+ This period is given in minutes.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="PmBladeMeasure" minOccurs="0" maxOccurs="64">
+ <xsd:annotation>
+ <xsd:documentation>
+ Enabling monitoring of CPU and memory usage and reading
+ the corresponding values of utilisation in percent.
+
+ Key attributes: [subrackNum,mxbSlot]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element name="mxbSlot" type="MxbSlot"/>
+ <xsd:element name="typeOfMeasurement">
+ <xsd:annotation>
+ <xsd:documentation>
+ Type of measurement to be performed, i.e. CPU or Memory.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType20">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="status">
+ <xsd:annotation>
+ <xsd:documentation>
+ Start or stop performance measurement of a specific type
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType21">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="usage" type="PercentUsage">
+ <xsd:annotation>
+ <xsd:documentation>
+ This is the value of the usage in percent when
+ performing the measurement.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="memoryUsageBytes">
+ <xsd:annotation>
+ <xsd:documentation>
+ This is the value of Memory usage in bytes when
+ performing a Memory usage measurement.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="PmLink" minOccurs="0" maxOccurs="1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Management Link related data.
+
+ Key attributes: []
+
+ Possible children:
+ PmBwMeasure
+ PmStatistics
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="PmBwMeasure" minOccurs="0" maxOccurs="1536">
+ <xsd:annotation>
+ <xsd:documentation>
+ Enabling monitoring Bandwidth usage and reading the
+ corresponding values of utilisation in percent.
+
+ Key attributes: [subrackNum,bladeSlotLink,mxbSlot]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element name="bladeSlotLink" type="BladeSlotLink"/>
+ <xsd:element name="mxbSlot" type="MxbSlot"/>
+ <xsd:element name="status">
+ <xsd:annotation>
+ <xsd:documentation>
+ Start or stop measuring of bandwidth utilisation.
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType21">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="bandwidthUsage" type="PercentUsage">
+ <xsd:annotation>
+ <xsd:documentation>
+ This is the value of the average Bandwidth usage in percent
+ during the configured sampling period.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="PmStatistics" minOccurs="0" maxOccurs="1536">
+ <xsd:annotation>
+ <xsd:documentation>
+ This class describes the Performance
+ Monitoring Statistics; i.e. counters
+ for each backplane port on the Mxb,
+ the actual speed used on the port and
+ if the port operates in full or half duplex mode.
+
+ Key attributes: [subrackNum,bladeSlotLink,mxbSlot]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="subrackNum" type="SubrackNum"/>
+ <xsd:element name="bladeSlotLink" type="BladeSlotLink"/>
+ <xsd:element name="mxbSlot" type="MxbSlot"/>
+ <xsd:element minOccurs="0" name="inOctets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ received octets.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="inUnicastPackets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ received Unicast Packets
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="inMulticastPackets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ received Multicast Packets
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="inBroadcastPackets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ received Broadcast Packets
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="inOctetsDiscarded" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter
+ for number of received discarded octets.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="inOctetsFaulty" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter
+ for number of received faulty octets.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="inUnknownProtocol" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter
+ for number of received Unknown Protocol packets.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="outOctets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ octets in send buffer.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="outUnicastPackets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ Unicast Packets in send buffer.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="outMulticastPackets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ Multicast Packets in send buffer.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="outBroadcastPackets" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ Broadcast Packets in send buffer.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="framesOpcodePause" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ Frames with Op code Pause
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="framesExceedingMaxFramesize" type="StatisticCounter">
+ <xsd:annotation>
+ <xsd:documentation>
+ Performance Monitoring counter for
+ Frames exceeding maximum frame size.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:simpleType name="ccsHelperType21">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="on"/>
+ <xsd:enumeration value="off"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType20">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="cpu"/>
+ <xsd:enumeration value="memory"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType19">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="50"/>
+ <xsd:maxInclusive value="100"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType18">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="left"/>
+ <xsd:enumeration value="right"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType17">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="yes"/>
+ <xsd:enumeration value="no"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType16">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="inSync"/>
+ <xsd:enumeration value="outOfSync"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType15">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="individual"/>
+ <xsd:enumeration value="aggregatable"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType14">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="long"/>
+ <xsd:enumeration value="short"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType13">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="passive"/>
+ <xsd:enumeration value="active"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType12">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="protected"/>
+ <xsd:enumeration value="isolatable"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType11">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="static"/>
+ <xsd:enumeration value="dynamic"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType10">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="1"/>
+ <xsd:maxInclusive value="4094"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType9">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="notUsed"/>
+ <xsd:enumeration value="high"/>
+ <xsd:enumeration value="medium"/>
+ <xsd:enumeration value="low"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType8">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="notUsed"/>
+ <xsd:enumeration value="wrr"/>
+ <xsd:enumeration value="strictpriority"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType7">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="7"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType6">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="1"/>
+ <xsd:maxInclusive value="24"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType5">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="100"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType4">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType3">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="srcMAC"/>
+ <xsd:enumeration value="dstMAC"/>
+ <xsd:enumeration value="srcAndDstMAC"/>
+ <xsd:enumeration value="srcIP"/>
+ <xsd:enumeration value="dstIP"/>
+ <xsd:enumeration value="srcAndDstIP"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType2">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="dynamic"/>
+ <xsd:enumeration value="force"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType1">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="lacp"/>
+ <xsd:enumeration value="manual"/>
+ <xsd:enumeration value="disable"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType0">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="31"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+</xsd:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MyBsModel_1.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MyBsModel_1.xsd
new file mode 100644
index 0000000000..5ec3434a65
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/MyBsModel_1.xsd
@@ -0,0 +1,502 @@
+<?xml version="1.0" encoding="iso-8859-1"?>
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema" targetNamespace="http://www.ericsson.com/is/isco/MyBsModel_1" xmlns="http://www.ericsson.com/is/isco/MyBsModel_1" xmlns:nc="http://www.ericsson.com/is/isco/nc" xmlns:IsTypes="http://www.ericsson.com/is/isco/IsTypes">
+ <xsd:annotation>
+ <xsd:appinfo>
+ <mim file="myTest_mim.xml" version="/main/R2A/10"/>
+ <mim file="other_mim.xml" version=""/>
+ </xsd:appinfo>
+ </xsd:annotation>
+ <xsd:import schemaLocation="nc.xsd" namespace="http://www.ericsson.com/is/isco/nc"/>
+ <xsd:import schemaLocation="IsTypes.xsd" namespace="http://www.ericsson.com/is/isco/IsTypes"/>
+ <xsd:complexType name="uselessStruct">
+ <xsd:sequence>
+ <xsd:element name="field1">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType4">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="field2" type="myInteger"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="myNamedStruct">
+ <xsd:annotation>
+ <xsd:documentation>
+ Struct description
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:sequence>
+ <xsd:element name="counter">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType5">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="state" type="stateType"/>
+ <xsd:element name="dummyStructRef" type="uselessStruct"/>
+ <xsd:element name="dummySequence">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" type="uselessStruct" minOccurs="3" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="inlineStruct">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="a" type="InternalImport"/>
+ <xsd:element name="b">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType6">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="c">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="myInteger">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType8">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="namedMoRefType">
+ <xsd:annotation>
+ <xsd:documentation>
+ A named type which is an MO Reference pointing to
+ * MyClass
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType9">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="stateType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType10">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="blippName">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType11">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="yesNoEnum">
+ <xsd:annotation>
+ <xsd:documentation>
+ Enum which can be yes or no
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType12">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="uselessEnum">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType13">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="InternalImport">
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:integer">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="MyClass_SeqType3">
+ <xsd:sequence>
+ <xsd:element name="item" type="MyClass_InternalType" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="MyClass_myDateAndTimeType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType0">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="MyClass_SeqType2">
+ <xsd:sequence>
+ <xsd:element name="item" type="uselessStruct" minOccurs="0" maxOccurs="unbounded"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:complexType name="MyClass_InternalType">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType1">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="MyClass_classInternalEnum">
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType2">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ <xsd:complexType name="MyClass_SeqType1">
+ <xsd:sequence>
+ <xsd:element name="item" minOccurs="3" maxOccurs="3">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType3">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ <xsd:element name="model">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="Blipp" minOccurs="0" maxOccurs="2">
+ <xsd:annotation>
+ <xsd:documentation>
+ This is a class which can be assigned as an attribute of ChildClass.
+ Key attribute is 'id'.
+
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id" type="blippName"/>
+ <xsd:element minOccurs="0" name="truefalse" type="uselessEnum"/>
+ <xsd:element minOccurs="0" name="ipAddress" type="IsTypes:IpAddress"/>
+ <xsd:element minOccurs="0" name="macAddress" type="IsTypes:MacAddress"/>
+ <xsd:element minOccurs="0" name="moAttributeDeep">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType14">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="MyClass" minOccurs="0" maxOccurs="unbounded">
+ <xsd:annotation>
+ <xsd:documentation>
+ My Test Class
+ The class hierarky looks like this:
+
+ +==========+
+ |MountPoint|
+ +==========+
+ / \
+ / \
+ +=======+ +=======+
+ | Blipp | |MyClass|
+ +=======+ +=======+
+ |
+ |
+ +==========+
+ |ChildClass|
+ +==========+
+
+ Key attributes: [id1,id2]
+
+ Possible children:
+ ChildClass:
+ Definition of children under MyClass
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id1">
+ <xsd:annotation>
+ <xsd:documentation>
+ Test class identifyer - part1
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType15">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="id2">
+ <xsd:annotation>
+ <xsd:documentation>
+ Test class identifyer - part2
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType16">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="date">
+ <xsd:annotation>
+ <xsd:documentation>
+ Date
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType17">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="date2" type="MyClass_myDateAndTimeType">
+ <xsd:annotation>
+ <xsd:documentation>
+ Date2 - named type
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="list">
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="item" minOccurs="3" maxOccurs="3">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType3">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="list2" type="MyClass_SeqType2"/>
+ <xsd:element minOccurs="0" name="list3" type="MyClass_SeqType3"/>
+ <xsd:element minOccurs="0" name="yesNo" type="yesNoEnum"/>
+ <xsd:element minOccurs="0" name="commonStruct" type="myNamedStruct">
+ <xsd:annotation>
+ <xsd:documentation>
+ Attribute description.
+ </xsd:documentation>
+ </xsd:annotation>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="dummyInternal" type="MyClass_InternalType"/>
+ <xsd:element minOccurs="0" name="trueOrFalse">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType7">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element name="ChildClass" minOccurs="0" maxOccurs="2">
+ <xsd:annotation>
+ <xsd:documentation>
+ Child of MyClass
+
+ Key attributes: [id]
+ </xsd:documentation>
+ </xsd:annotation>
+ <xsd:complexType>
+ <xsd:sequence>
+ <xsd:element name="id">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType18">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="moAttribute">
+ <xsd:complexType>
+ <xsd:simpleContent>
+ <xsd:extension base="ccsHelperType19">
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:element minOccurs="0" name="moAttributeNamed" type="namedMoRefType"/>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ </xsd:sequence>
+ <xsd:attribute ref="nc:operation"/>
+ </xsd:complexType>
+ </xsd:element>
+ <xsd:simpleType name="ccsHelperType19">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*Blipp\[id='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType18">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:minLength value="1"/>
+ <xsd:maxLength value="20"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType17">
+ <xsd:restriction base="xsd:dateTime">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:minInclusive value="2006-03-08T00:00:00.567Z"/>
+ <xsd:maxInclusive value="2006-03-08T23:59:59Z"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType16">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="1"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType15">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="Obj"/>
+ <xsd:enumeration value="MO"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType14">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*ChildClass\[id='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType13">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="true"/>
+ <xsd:enumeration value="false"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType12">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="yes"/>
+ <xsd:enumeration value="no"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType11">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="name1"/>
+ <xsd:enumeration value="name2"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType10">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="locked"/>
+ <xsd:enumeration value="active"/>
+ <xsd:enumeration value="idle"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType9">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:pattern value="((/IntegratedSite:model/)|((\.\./)+)|((\./)(\.\./)*)|())((([A-Z][a-zA-Z0-9]+:model)|([A-Z][a-zA-Z0-9]+(\[[a-z][a-zA-Z0-9]*='[^\]]+'\])*))/)*MyClass\[id1='[^\]]+'\]\[id2='[^\]]+'\]"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType8">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="1"/>
+ <xsd:maxInclusive value="100"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType7">
+ <xsd:restriction base="xsd:boolean">
+ <xsd:pattern value="(true|false)"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType6">
+ <xsd:restriction base="xsd:float">
+ <xsd:minInclusive value="0.00"/>
+ <xsd:maxInclusive value="1.00"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType5">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType4">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:maxLength value="20"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType3">
+ <xsd:restriction base="xsd:integer">
+ <xsd:minInclusive value="0"/>
+ <xsd:maxInclusive value="3"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType2">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="a"/>
+ <xsd:enumeration value="b"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType1">
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="hei"/>
+ <xsd:enumeration value="hopp"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ <xsd:simpleType name="ccsHelperType0">
+ <xsd:restriction base="xsd:dateTime">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:minInclusive value="2006-03-15T00:00:00.567Z"/>
+ <xsd:maxInclusive value="2006-03-15T23:59:59Z"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+</xsd:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/bs_mim.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/bs_mim.xml
new file mode 100644
index 0000000000..8846c05878
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/bs_mim.xml
@@ -0,0 +1,1187 @@
+<?xml version="1.0" encoding="ISO-8859-1" ?>
+
+<!--
+
+Copyright (C) Ericsson AB 2006-2007 All rights reserved.
+
+The information in this document is the property of Ericsson.
+
+Except as specifically authorized in writing by Ericsson, the
+receiver of this document shall keep the information contained
+herein confidential and shall protext the same in whole or in part
+from disclosure and dissemination to third parties.
+
+Disclosure and disseminations to the receivers employees shall
+only be made on a strict need to know basis.
+
+-->
+
+
+<mim xmlns="http://www.ericsson.com/is/isco/mim/2.0" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.ericsson.com/is/isco/mim/2.0 /vobs/isac/sis/OAM_CRA1190047_1/CCS_CNA113082/doc/15519/mim.xsd ">
+
+ <!--
+ DataType Definitions
+ -->
+ <dataType name="HwmAdministrativeState">
+ <description>
+ The administrative state of blades/blade systems.
+ Reflects what the administrator has done.
+ </description>
+ <string>
+ <validValues>
+ <value name="locked"/>
+ <value name="shuttingdown"/>
+ <value name="unlocked"/>
+ </validValues>
+ </string>
+ </dataType>
+
+ <dataType name="HwmOperationalState">
+ <description>
+ The operational state of blades/blade systems.
+ Reflects if the entity is on/off.
+ </description>
+ <string>
+ <validValues>
+ <value name="disabled"/>
+ <value name="enabled"/>
+ </validValues>
+ </string>
+ </dataType>
+
+ <dataType name="HwmAvailabilityStatus">
+ <description>
+ The availability state of blades/blade systems.
+ Reflects if the entity is useable or not.
+ </description>
+ <string>
+ <validValues>
+ <value name="available"/>
+ <value name="failed"/>
+ <value name="offLine"/>
+ <value name="dependency"/>
+ <value name="degraded"/>
+ <value name="upgrading"/>
+ <value name="startingUp"/>
+ <value name="goingOffLine"/>
+ <value name="notInstalled"/>
+ <value name="powerOff"/>
+ </validValues>
+ </string>
+ </dataType>
+
+ <dataType name="HwmKnockOutPreference">
+ <description>
+ </description>
+ <string>
+ <validValues>
+ <value name="normal"/>
+ <value name="protected"/>
+ </validValues>
+ </string>
+ </dataType>
+
+ <dataType name="HwmBusType">
+ <description>
+ The bus type used by a blade.
+ </description>
+ <string>
+ <validValues>
+ <value name="ipmi"/>
+ <value name="mbus"/>
+ </validValues>
+ </string>
+ </dataType>
+
+ <!--
+ Class Definitions
+ -->
+
+ <class name="BladeSystems">
+ <description>
+ Parent of all blade systems.
+ </description>
+ <singleton/>
+ <systemCreated/>
+ </class>
+
+ <class name="BladeSystem">
+ <description>
+ This class describes a Blade System.
+ It serves as a mountpoint for the blade system specific model.
+ </description>
+
+ <!-- Attributes from HWM -->
+
+ <attribute name="name">
+ <description>
+ A user friendly Blade System name, must be set at creation and can be
+ changed when BS is locked.
+ </description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="no">
+ <description>
+ An identifier for the BS. A new integer has to be used every time a new
+ BS is created.
+ </description>
+ <dataType><integer/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="type">
+ <description>
+ </description>
+ <dataType><string/></dataType>
+ <mandatory/>
+ <restricted/>
+ </attribute>
+
+ <attribute name="softwareGroupId">
+ <description>
+ The identity of the Software Group (SWG) that the BS implements.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ <mandatory/>
+ </attribute>
+
+ <attribute name="softwareGroupRevision">
+ <description>
+ The revision of the Software Group (SWG) that the BS implements.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ <mandatory/>
+ </attribute>
+
+ <attribute name="softwareCorrectionPackageId">
+ <description>
+ The identity of the correction package (CXR) applied to the SWG.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="softwareCorrectionPackageRevision">
+ <description>
+ The revision of the correction package (CXR) applied to the SWG
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="admState">
+ <description>The administrative state of the Blade System.</description>
+ <dataType name.ref="HwmAdministrativeState"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="opState">
+ <description>The operational state of the Blade System.</description>
+ <dataType name.ref="HwmOperationalState"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="availStatus">
+ <description>The availability status of the Blade System.</description>
+ <dataType name.ref="HwmAvailabilityStatus"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="bsdName">
+ <description>
+ The identity of the Blade System domain the Blade system belongs to.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <!-- Attributes from CPD -->
+
+ <attribute name="bsomAddress">
+ <description>
+ IP address of the Blade System OaM Master.
+ </description>
+ <dataType name.ref="IsTypes:IpAddress"/>
+ <readOnly/>
+ </attribute>
+
+ <action name="lock">
+ <description>
+ Locks the blade system. If the system already is locked the
+ action does nothing.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+
+ <action name="unlock">
+ <description>
+ Unlocks the blade system. If the system already is unlocked the
+ action does nothing. This operation orders the blade system to be unlocked.
+ However the blade system may not be unlocked even if this order works.
+ See the operational state to figure out if the unlock succeded.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+
+ <action name="shutdown">
+ <description>
+ Shuts down the blade system. If the system already is locked the
+ action does nothing.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+
+ <action name="createBackup">
+ <description>
+ Action to create a backup file from the current
+ Blade System. It is stored locally on disk.
+ An instance of the MO class
+ Software/Jobs/Backup/Create is created as a log of this
+ action.
+ </description>
+ <returnType>
+ <dataType><string/></dataType><!-- return ok | {fault,Reason} ? -->
+ </returnType>
+ <parameter name="backupJobName">
+ <description>
+ A user specified backup creation job name
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ </action>
+
+ <action name="restoreBackup">
+ <description>
+ Action to restore a backup into the current
+ Blade System. It is fetched from the local disk.
+ An instance of the MO class
+ Software/Jobs/Backup/Restore is created as a log of this
+ action.
+ </description>
+ <returnType>
+ <dataType><string/></dataType><!-- return ok | {fault,Reason} ? -->
+ </returnType>
+ <parameter name="backupId">
+ <description>
+ The IS site local backup identity
+ </description>
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ </parameter>
+ <parameter name="restoreJobName">
+ <description>
+ A user specified backup restore job name
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ </action>
+
+ <action name="upgrade">
+ <description>
+ Action to upgrade the current Blade System. Files
+ are fetched from the local disk storage.
+ An instance of the MO class
+ Software/Jobs/Upgrade is created as a log of this
+ action.
+ </description>
+ <returnType>
+ <dataType><string/></dataType><!-- return ok | {fault,Reason} ? -->
+ </returnType>
+ <parameter name="upgradeJobName">
+ <description>
+ A user specified ugrade job name
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ <parameter name="swgProdNr">
+ <description>
+ The Ericsson product number of blade system software group
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ <parameter name="swgRevision">
+ <description>
+ The Ericsson revision of blade system software group
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ <parameter name="cxrProdNr">
+ <description>
+ The Ericsson product number of software correction package
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ <parameter name="cxrProdRevision">
+ <description>
+ The Ericsson revision of software correction package
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ <parameter name="bladeSwgProdNr">
+ <description>
+ The Ericsson product number of blade software group
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ <parameter name="bladeSwgProdRevison">
+ <description>
+ The Ericsson revision of blade software group
+ </description>
+ <dataType><string/></dataType>
+ <isOptional/>
+ </parameter>
+ <parameter name="maxTime">
+ <description>
+ The maximum time allowed to finish the entire
+ software change procedure (minutes).
+ Default value depends on blade system software.
+ </description>
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <isOptional/>
+ </parameter>
+ </action>
+ </class>
+
+
+ <class name="Blade">
+ <description>
+ This class describes a Blade.
+ A Blade is identified by the subrack and slot number.
+ </description>
+
+ <!-- Attributes from HWM -->
+
+ <attribute name="subrackId">
+ <description>
+ A reference to the associated subrack (i.e. the value of 'subrackId'
+ for the associated subrack)
+ </description>
+ <dataType>
+ <integer><range><min>0</min><max>31</max></range></integer>
+ </dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="slotNo">
+ <description>The slot number for this Blade within the subrack.</description>
+ <dataType>
+ <integer><range><min>0</min><max>25</max></range></integer>
+ </dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="slotLabel">
+ <description>
+ The slot label of the blade. Will only be set at creation.
+ This should be used in alarms related to the slot to inform the
+ operator of what position in the subrack the blade is located in.
+ E.g. for GEM subracks it will be a string like X02 for slot 0
+ up to X80 for slot 25.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="bsNo">
+ <description>
+ An identifier for the BS to which the blade belongs.
+ A value of 0 means that the blade is inserted in the subrack but it
+ has not been configured to any BS yet.
+ </description>
+ <dataType><integer/></dataType>
+ </attribute>
+
+ <attribute name="type">
+ <description>
+ The type of the Blade defined by a string BladeTypeId.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ <mandatory/>
+ </attribute>
+
+ <attribute name="swgLowestId">
+ <description>The expectedregistered SW group id of the Blade.</description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="swgLowestRev">
+ <description>The registered SW group revision state of the Blade.</description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="swgActualId">
+ <description>The installed SW group id of the Blade.</description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="swgActualRev">
+ <description>The installed SW group revision state of the Blade.</description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="bsom">
+ <description>
+ Indicates if this blade is a BSOM candidate.
+ </description>
+ <dataType><boolean/></dataType>
+ </attribute>
+
+ <attribute name="knockOutPreference">
+ <description>
+ Set to protected if blade sholud no be knocked out before the
+ switch blade.
+ </description>
+ <dataType name.ref="HwmKnockOutPreference"/>
+ </attribute>
+
+ <attribute name="userLabel">
+ <description>
+ A user friendly Blade name, always possible to set.
+ Default value indicates HwmBladeType and used slot.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="admState">
+ <description>
+ The administrative state of the Blade.
+ </description>
+ <dataType name.ref="HwmAdministrativeState"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="opState">
+ <description>The operational state of the Blade.</description>
+ <dataType name.ref="HwmOperationalState"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="availStatus">
+ <description>The availability status of the Blade.</description>
+ <dataType name.ref="HwmAvailabilityStatus"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="busType">
+ <description>The type of maintenance bus on the found Blade</description>
+ <dataType name.ref="HwmBusType"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="prodNo">
+ <description>The Product Number of the found Blade</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="prodRev">
+ <description>The Product Revision of the found Blade.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="serialNo">
+ <description>The Serial Number of the found Blade.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="prodName">
+ <description>The Product Name of the found Blade.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="vendor">
+ <description>The Vendor name of the found Blade.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="mfgDate">
+ <description>The manufacturing date of the found Blade.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="macAddressAggregate">
+ <description>The aggregated MAC address of the found Blade.</description>
+ <dataType name.ref="IsTypes:MacAddress"/>
+ </attribute>
+
+ <attribute name="macAddressLeft">
+ <description>
+ The left link MAC address of the found Blade. Only used by some blades
+ </description>
+ <dataType name.ref="IsTypes:MacAddress"/>
+ </attribute>
+
+ <attribute name="macAddressRight">
+ <description>
+ The right link MAC address of the found Blade. Only used by some blades
+ </description>
+ <dataType name.ref="IsTypes:MacAddress"/>
+ </attribute>
+
+ <attribute name="changeDate">
+ <description>The date when the blade was last changed.</description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <!-- Attributes from CPD -->
+
+ <attribute name="isbsAddress">
+ <description>
+ IP address on the ISBS subnet.
+ </description>
+ <dataType name.ref="IsTypes:IpAddress"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="isobAddress">
+ <description>
+ IP address on the ISOB subnet.
+ </description>
+ <dataType name.ref="IsTypes:IpAddress"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="islctAddress">
+ <description>
+ IP address on the ISLCT subnet.
+ </description>
+ <dataType name.ref="IsTypes:IpAddress"/>
+ <readOnly/>
+ </attribute>
+
+ <action name="lock">
+ <description>
+ Locks the blade. If the blade already is locked the
+ action does nothing. This operation orders the blade to be locked.
+ It may however take long time before it happens.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+
+ <action name="unlock">
+ <description>
+ Unlocks the blade. If the blade already is unlocked the
+ action does nothing. This operation orders the blade to be unlocked.
+ However the blade may not be unlocked even if this order works.
+ See the operational state to figure out if the unlock succeded.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+
+ <action name="shutdown">
+ <description>
+ Shuts down the blade. If the blade already is locked the
+ action does nothing.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ <action name="upgrade">
+ <description>
+ Action to upgrade the current blade. Files
+ are fetched from the local disk storage.
+ An instance of the MO class
+ Software/Jobs/Upgrade is created as a log of this
+ action.
+ </description>
+ <returnType>
+ <dataType><string/></dataType><!-- return ok | {fault,Reason} ? -->
+ </returnType>
+ <parameter name="swgProdNr">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="swgRevision">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="maxTime">
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <isOptional/>
+ </parameter>
+ </action>
+ </class>
+
+ <class name="LinkSap">
+ <description>
+ A Blade may have one or more resilient interfaces
+ to the backplane. Such an interface is called a
+ Link Service Access Point (LSAP), which is the
+ point where you access layer 2. LSAPs are
+ automatically created and deleted along with the
+ hosting blade.
+ </description>
+ <systemCreated/>
+ <attribute name="id">
+ <description>
+ The id of a LinkSap is a number from 0 to X within the slot.
+ </description>
+ <dataType><integer/></dataType>
+ <key/><readOnly/>
+ </attribute>
+ </class>
+
+ <class name="BsNetworkConfiguration">
+ <description>
+ The MO branch where the Blade System specific
+ Network resources are mapped to blade system
+ network requirements to common Integrated Site
+ resources. This activity must be performed before
+ a new blade or blade system successfully can be
+ unlocked via the Hardware service. Some blade
+ system designers have partly pre-registered their
+ network requirements, while others demand that
+ you read the corresponding information from
+ separate Operation Procedure Instructions and
+ manually register the blade system network
+ requirements. The pre-registered network
+ requirements automates parts of the blade
+ system-specific configuration activity.
+
+ In an Integrated Site, the blade systems obtain
+ their actual network configuration dynamically in
+ runtime. When you change the network
+ configuration it will affect blade systems. In
+ order to minimize the disturbance of active blade
+ systems, the changes are normally applied in a
+ separate storage which not is visible to the
+ blade systems. In order to make use of the new
+ unpublished configuration, it must explicitly be
+ published by altering the updateMode. If the new
+ settings introduces severe problems the
+ configuration can be reverted to the old settings
+ by altering the updateMode.
+
+ The common Network configuration including
+ updateMode and its publish mechanism is specified
+ in a separate MIM called CPD MIM.
+ </description>
+ <singleton/>
+ <systemCreated/>
+ </class>
+
+ <class name="BsVlan">
+ <description>
+ A BS VLAN is mapped to one IS VLAN. A BS VLAN is
+ the requirement a Blade System have of an IS
+ VLAN. Different instances of a Blade System type
+ may call their BS VLANs the same thing but in the
+ end the IS Site Designer can choose to map them
+ to the same or different IS VLAN.
+
+ BS VLANs can either be created manually by the
+ operator or they can be automatically created
+ from pre-registered network requirements bundled
+ with the Blade System software. Regardless of
+ how they are created, each one needs to be
+ mapped to an IS VLAN before the blades can be
+ unlocked. The mapping is done with the
+ 'isVlanRef' attribute.
+
+ Different instances of a Blade System type may call
+ their BS VLANs the same thing but in the end the IS
+ Site Designer can choose to map them to the same or
+ different IS VLAN.
+ </description>
+ <attribute name="name">
+ <description>
+ Name of the BS VLAN. It is unique within the Blade System.
+ </description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="description">
+ <description>
+ Short description of the BS VLAN.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+ <attribute name="private">
+ <description>
+ Setting the private attribute to true, means
+ that the corresponding IS VLAN not can be
+ used by other Blade Systems. The IS VLAN is
+ private for this particular Blade System.
+ </description>
+ <dataType><boolean/></dataType>
+ </attribute>
+ <attribute name="isVlanRef">
+ <description>
+ The mapping to a corresponding IS VLAN. This
+ mapping must be done before the blades of the
+ Blade System can be unlocked.
+ </description>
+ <dataType><moRef name="IsVlan"/></dataType>
+ </attribute>
+ </class>
+
+ <class name="BsLogicalNetwork">
+ <description>
+ A BS Logical Network (BSLN) is associated to
+ one IS Logical Network. A BS Logical Network
+ is the requirement a Blade Systems have of an
+ IS Logical Network.
+
+ BS Logical Networks can either be created
+ manually by the operator or they can be
+ automatically created from pre-registered
+ network requirements bundled with the Blade
+ System software. Regardless of how they are
+ created, each one needs to be mapped to an IS
+ Logical Network before the blades can be
+ unlocked. The mapping is done with the
+ 'isLogicalNetworkRef' attribute.
+
+ Different instances of a Blade System type may call
+ their BS Logical Networks the same thing but in
+ the end the IS Site Designer can choose to map
+ them to the same or different IS Logical
+ Network.
+ </description>
+ <attribute name="name">
+ <description>
+ Name of the BS logical network. It is unique within the Blade System.
+ </description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="description">
+ <description>
+ Short description of the BS Logical Network.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+ <attribute name="private">
+ <description>
+ Flag that shows whether the BS Logical Network
+ is private for this Blade System or if it may
+ be shared among several Blade Systems.
+ </description>
+ <dataType><boolean/></dataType>
+ </attribute>
+ <attribute name="isLogicalNetworkRef">
+ <description>
+ Reference to the corresponding IS Logical Network.
+ </description>
+ <dataType><moRef name="IsLogicalNetwork"/></dataType>
+ </attribute>
+ </class>
+
+ <class name="BsSubnet">
+ <description>
+ A BS Subnet (BSSN) is associated to one IS
+ Subnet. A BS Subnet Network is the requirement a
+ Blade Systems have of an IS Subnet.
+
+ BS Subnets can either be created manually by the
+ operator or they can be automatically created
+ from pre-registered network requirements bundled
+ with the Blade System software. Regardless of
+ how they are created, each one needs to be
+ mapped to both a BS VLan and an IS Subnet before
+ blades can be unlocked via the Hardware service.
+ Each BS Subnet contains one or more BS Subnet
+ Segments. The mappings are done with the
+ 'bsVlanRef' and 'isSubnetRef' attributes.
+
+ Different instances of a Blade System type may
+ call their BS Subnets the same thing but in the
+ end the IS Site Designer can choose to map them
+ to the same or different IS Subnet.
+ </description>
+ <attribute name="name">
+ <description>
+ Name of the BS Subnet. It is unique within the BS Logical Network.
+ </description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="description">
+ <description>
+ Short description of the BS Subnet.
+ </description>
+ <dataType><string><defaultValue>default</defaultValue></string></dataType>
+ </attribute>
+ <attribute name="bsVlanRef">
+ <description>
+ The mapping to a corresponding BS Vlan. This
+ mapping must be done before the blades of the
+ Blade System can be unlocked.
+ </description>
+ <dataType><moRef name="BsVlan"/></dataType>
+ </attribute>
+ <attribute name="isSubnetRef">
+ <description>
+ The mapping to a corresponding IS Subnet. This
+ mapping must be done before the blades of the
+ Blade System can be unlocked.
+ </description>
+ <dataType><moRef name="IsSubnet"/></dataType>
+ </attribute>
+ </class>
+
+ <class name="BsSubnetSegment">
+ <description>
+ A BS Subnet Segment (BSSNS) is associated to one
+ IS Subnet Segment. A BS Subnet Segment is the
+ requirement a Blade Systems have of an IS Subnet
+ Segment.
+
+ BS Subnet Segments can either be created manually
+ by you or they can be automatically created from
+ pre-registered network requirements bundled with
+ the Blade System software. Regardless of how they
+ are created, each one needs to be mapped to an IS
+ Subnet Segment before the blades can be unlocked
+ via the Hardware service. The mapping is done
+ with the 'isSubnetSegmentRef' attribute.
+
+ Different instances of a Blade System type may
+ call their BS Subnet Segments the same thing but
+ in the end the IS Site Designer can choose to
+ map them to the same or different IS Subnet
+ Segment.
+ </description>
+ <attribute name="name">
+ <description>
+ Name of the BS Subnet Segment. It is unique within the BS Subnet.
+ </description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="description">
+ <description>
+ Short description of the BS Subnet Segment.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+ <attribute name="numOfIpaddresses">
+ <description>
+ Number of required IP addresses in the IS Subnet Segment.
+ </description>
+ <dataType><integer/></dataType>
+ </attribute>
+ <attribute name="dhcpEnabled">
+ <description>
+ Flag that controls whether the corresponding IS
+ Subnet Segment is required to have DHCP enabled
+ or not.
+ </description>
+ <dataType><boolean/></dataType>
+ </attribute>
+ <attribute name="routerRequired">
+ <description>
+ Flag that controls whether the corresponding IS
+ Subnet Segment is required to have a router
+ configured or not.
+ </description>
+ <dataType><boolean/></dataType>
+ </attribute>
+ <attribute name="isSubnetSegmentRef">
+ <description>
+ The mapping to a corresponding IS Subnet
+ Segment. The mapping here must be done before
+ the blades of the blade system can be unlocked.
+ </description>
+ <dataType>
+ <moRef name="IsSubnetSegment"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="BsVlanSap">
+ <description>
+ There is a BS VLAN Service Access Point (BSVSAP)
+ for each Link Service Access Point that an IS
+ VLAN straddles. It is per BS VLAN Service Access
+ Point that certain VLAN properties, such as if it
+ is mandatory to enable isolation protection.
+
+ BS VLAN Service Access Points can either be
+ created manually by the operator or they can be
+ automatically created from pre-registered network
+ requirements bundled with the Blade System
+ software. Regardless of how they are created,
+ each one needs to be mapped to an Link SAP before
+ the blades can be unlocked. The mapping is done
+ with the 'linkSapRef' attribute.
+ </description>
+ <attribute name="name">
+ <description>
+ Name of the BS VLAN SAP. It is unique within the BS VLAN.
+ </description>
+ <dataType>
+ <string/>
+ </dataType>
+ <key/>
+ </attribute>
+ <attribute name="description">
+ <description>
+ Short description of the BS VLAN SAP.
+ </description>
+ <dataType>
+ <string/>
+ </dataType>
+ </attribute>
+ <attribute name="static">
+ <description>
+ Flag which controls whether this BS VLAN SAP
+ makes static or dynamic use of the
+ corresponding IS VLAN.
+ </description>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="protected">
+ <description>
+ Flag which controls whether this BS VLAN SAP should be
+ isolation protected or not.
+ </description>
+ <dataType>
+ <boolean/>
+ </dataType>
+ </attribute>
+ <attribute name="linkSapRef">
+ <description>
+ A mapping to the corresponding Link Service Access Point.
+ </description>
+ <dataType>
+ <moRef name="LinkSap"/>
+ </dataType>
+ </attribute>
+ </class>
+
+ <class name="BsIpTrafficClass">
+ <description>
+ A BS IP Traffic Class (BSIPTC) is the
+ requirement a Blade System have of an IS IP
+ Traffic Class.
+
+ BS IP Traffic Classes can either be created
+ manually by you or they can be automatically
+ created from pre-registered network requirements
+ bundled with the Blade System software. Regardless
+ of how they are created, each one needs to be
+ mapped to an IS IP Traffic Class before the blades
+ can be unlocked via the Hardware service. The
+ mapping is done with the 'isIpTrafficClassRef'
+ attribute.
+
+ Different instances of a Blade System type may
+ call their BS IP Traffic Classes the same thing but
+ in the end the IS Site Designer may choose to
+ map them to the same or different IS IP Traffic
+ Classes.
+ </description>
+ <attribute name="name">
+ <description>
+ The name of the BS IP Traffic Class. It is
+ unique within the Blade System.
+ </description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="description">
+ <description>
+ A short description of The BS IP Traffic Class.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+ <attribute name="isIpTrafficClassRef">
+ <description>
+ The mapping to a corresponding IS IP Traffic
+ Class. This mapping must be done before the
+ blades of the Blade System can be unlocked.
+ </description>
+ <dataType><moRef name="IsIpTrafficClass"/></dataType>
+ </attribute>
+ </class>
+
+ <class name="BsLanTrafficClass">
+ <description>
+ A BS LAN Traffic Class (BSLANTC) is the
+ requirement a Blade System have of an IS LAN
+ Traffic Class. Different instances of a Blade
+ System type may call their BS Traffic Classes
+ the same thing but in the end the IS Site
+ Designer may choose to map them to the same or
+ different IS LAN Traffic Classes.
+
+ BS LAN Traffic Classes can either be created
+ manually by you or they can be automatically
+ created from pre-registered network requirements
+ bundled with the Blade System software. Regardless
+ of how they are created, each one needs to be
+ mapped to an IS LAN Traffic Class before the blades
+ can be unlocked via the Hardware service. The
+ mapping is done with the 'isLanTrafficClassRef'
+ attribute.
+
+ Different instances of a Blade System type may
+ call their BS LAN Traffic Classes the same
+ thing but in the end the IS Site Designer may
+ choose to map them to the same or different IS
+ LAN Traffic Classes.
+ </description>
+ <attribute name="name">
+ <description>
+ Name of the BS IP Traffic Class. It is unique within the Blade System.
+ </description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="description">
+ <description>
+ Short description of the BS IP Traffic Class.
+ </description>
+ <dataType><string/></dataType>
+ </attribute>
+ <attribute name="isLanTrafficClassRef">
+ <description>
+ The mapping to a corresponding IS LAN Traffic
+ Class. This mapping must be done before the
+ blades of the Blade System can be unlocked.
+ </description>
+ <dataType><moRef name="IsLanTrafficClass"/></dataType>
+ </attribute>
+ </class>
+
+
+ <!--
+ Relatonship Definitions
+ -->
+
+ <relationship name="BladeSystemsTop">
+ <containment>
+ <parent>
+ <hasClass name="top_mim:Is"/>
+ </parent>
+ <child>
+ <hasClass name="BladeSystems"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsMount">
+ <containment>
+ <parent>
+ <hasClass name="BladeSystems"/>
+ </parent>
+ <child>
+ <hasClass name="BladeSystem"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Blades">
+ <containment>
+ <parent>
+ <hasClass name="BladeSystem"/>
+ </parent>
+ <child>
+ <hasClass name="Blade"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="LinkSaps">
+ <containment>
+ <parent><hasClass name="Blade"/></parent>
+ <child><hasClass name="LinkSap"/></child>
+ </containment>
+ </relationship>
+
+ <relationship name="NcMount">
+ <containment>
+ <parent><hasClass name="BladeSystem"/></parent>
+ <child><hasClass name="BsNetworkConfiguration"/></child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsVlans">
+ <containment>
+ <parent><hasClass name="BsNetworkConfiguration"/></parent>
+ <child>
+ <hasClass name="BsVlan"/>
+ <cardinality><min>1</min></cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsVlanSaps">
+ <containment>
+ <parent><hasClass name="BsVlan"/></parent>
+ <child>
+ <hasClass name="BsVlanSap"/>
+ <cardinality><min>1</min></cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsLogicalNetworks">
+ <containment>
+ <parent><hasClass name="BsNetworkConfiguration"/></parent>
+ <child><hasClass name="BsLogicalNetwork"/></child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsSubnets">
+ <containment>
+ <parent><hasClass name="BsLogicalNetwork"/></parent>
+ <child>
+ <hasClass name="BsSubnet"/>
+ <cardinality><min>1</min></cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsSubnetSegments">
+ <containment>
+ <parent><hasClass name="BsSubnet"/></parent>
+ <child><hasClass name="BsSubnetSegment"/></child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsIpTrafficClasses">
+ <containment>
+ <parent><hasClass name="BsNetworkConfiguration"/></parent>
+ <child><hasClass name="BsIpTrafficClass"/></child>
+ </containment>
+ </relationship>
+
+ <relationship name="BsLanTrafficClasses">
+ <containment>
+ <parent><hasClass name="BsNetworkConfiguration"/></parent>
+ <child><hasClass name="BsLanTrafficClass"/></child>
+ </containment>
+ </relationship>
+
+
+
+</mim>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/dummy_action_mim.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/dummy_action_mim.xml
new file mode 100644
index 0000000000..d691fe0b3f
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/dummy_action_mim.xml
@@ -0,0 +1,186 @@
+<mim xmlns="http://www.ericsson.com/is/isco/mim/2.0" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.ericsson.com/is/isco/mim/2.0 /vobs/isac/sis/OAM_CRA1190047_1/CCS_CNA113082/doc/15519/mim.xsd ">
+ <exception name="dummyException">
+ <description>
+ Exception description
+ </description>
+ <exceptionParameter name="dummyExcPar1">
+ <description>
+ Exception parameter description
+ </description>
+ <dataType><integer/></dataType>
+ </exceptionParameter>
+ <exceptionParameter name="dummyExcPar2">
+ <description>
+ Exception parameter description
+ </description>
+ <dataType>
+ <integer/>
+ </dataType>
+ </exceptionParameter>
+ </exception>
+
+
+ <class name="DummyActions">
+ <singleton/>
+ <attribute name="dummy">
+ <dataType><integer/></dataType>
+ </attribute>
+ <action name="actNoParams">
+ <description>
+ Action with no parameters
+ </description>
+ <returnType>
+ <description>
+ Maybe strange to describe void, but here is a description anyway.
+ </description>
+ <void/>
+ </returnType>
+ </action>
+ <action name="actOneParam">
+ <description>
+ Action with one parameter
+ </description>
+ <returnType>
+ <dataType>
+ <string>
+ <validValues>
+ <value name="ok"/>
+ <value name="error"/>
+ </validValues>
+ </string>
+ </dataType>
+ </returnType>
+ <parameter name="a">
+ <dataType>
+ <integer>
+ <range>
+ <min>0</min>
+ <max>3</max>
+ </range>
+ </integer>
+ </dataType>
+ </parameter>
+ </action>
+ <action name="actManyParams">
+ <description>
+ Action with many parameters, even some optional
+ </description>
+ <returnType>
+ <dataType>
+ <integer>
+ <range>
+ <min>0</min>
+ <max>100</max>
+ </range>
+ </integer>
+ </dataType>
+ </returnType>
+ <parameter name="a">
+ <dataType>
+ <integer>
+ <range>
+ <min>0</min>
+ <max>3</max>
+ </range>
+ </integer>
+ </dataType>
+ </parameter>
+ <parameter name="b">
+ <dataType>
+ <integer>
+ <range>
+ <min>0</min>
+ <max>3</max>
+ </range>
+ </integer>
+ </dataType>
+ </parameter>
+ <parameter name="c">
+ <dataType>
+ <integer>
+ <range>
+ <min>0</min>
+ <max>3</max>
+ </range>
+ </integer>
+ </dataType>
+ <initialValue>0</initialValue>
+ <isOptional/>
+ </parameter>
+ <parameter name="d">
+ <description>parameterdescription</description>
+ <dataType>
+ <integer/>
+ </dataType>
+ <isOptional/>
+ </parameter>
+ </action>
+ <action name="actComplexParams">
+ <description>
+ Action with parameters with complex datatypes
+ </description>
+ <returnType>
+ <description>
+ Here is a description of the return value for this action.
+ </description>
+ <dataType>
+ <string>
+ <validValues>
+ <value name="siri"/>
+ <value name="sara"/>
+ </validValues>
+ </string>
+ </dataType>
+ </returnType>
+ <parameter name="dummyParameter">
+ <dataType><integer/></dataType>
+ </parameter>
+ <parameter name="structParameter">
+ <dataType>
+ <struct>
+ <structMember name="a">
+ <dataType><integer/></dataType>
+ </structMember>
+ <structMember name="b">
+ <dataType><integer/></dataType>
+ </structMember>
+ </struct>
+ </dataType>
+ </parameter>
+ <raisesException name="dummyException"/>
+ </action>
+ <action name="actComplexReturn">
+ <returnType>
+ <dataType>
+ <struct>
+ <structMember name="a">
+ <dataType><integer/></dataType>
+ </structMember>
+ <structMember name="b">
+ <dataType><integer/></dataType>
+ </structMember>
+ </struct>
+ </dataType>
+ </returnType>
+ </action>
+ <action name="actException">
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="dummyException"/>
+ </action>
+ </class>
+
+
+ <relationship name="Top">
+ <containment>
+ <parent>
+ <hasClass name="top_mim:Is"/>
+ </parent>
+ <child>
+ <hasClass name="DummyActions"/>
+ </child>
+ </containment>
+ </relationship>
+
+</mim> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/hwm_mim.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/hwm_mim.xml
new file mode 100644
index 0000000000..a7a18b0070
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/hwm_mim.xml
@@ -0,0 +1,631 @@
+<?xml version="1.0" encoding="ISO-8859-1" ?>
+
+<!--
+
+Copyright (C) Ericsson AB 2006-2007 All rights reserved.
+
+The information in this document is the property of Ericsson.
+
+Except as specifically authorized in writing by Ericsson, the
+receiver of this document shall keep the information contained
+herein confidential and shall protext the same in whole or in part
+from disclosure and dissemination to third parties.
+
+Disclosure and disseminations to the receivers employees shall
+only be made on a strict need to know basis.
+
+-->
+
+
+<mim xmlns="http://www.ericsson.com/is/isco/mim/2.0" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.ericsson.com/is/isco/mim/2.0 /vobs/isac/sis/OAM_CRA1190047_1/CCS_CNA113082/doc/15519/mim.xsd ">
+
+ <!--
+ DataType Definitions
+ -->
+
+ <dataType name="HwmSlotState">
+ <description>
+ Information of how the slot is used.
+ </description>
+ <string>
+ <validValues>
+ <value name="unusedEmpty"/>
+ <value name="usedEmpty"/>
+ <value name="unusedOccupied"/>
+ <value name="usedOccupied"/>
+ </validValues>
+ </string>
+ </dataType>
+
+
+ <!--
+ Class Definitions
+ -->
+
+ <exception name="dummyException">
+ <exceptionParameter name="dummyExcPar1">
+ <dataType><integer/></dataType>
+ </exceptionParameter>
+ <exceptionParameter name="dummyExcPar2">
+ <dataType><integer/></dataType>
+ </exceptionParameter>
+ </exception>
+
+
+ <class name="Hardware">
+ <description>
+ The purpose of the Hardware Management function is to make it possible to maintain
+ the Hardware Inventory (HWI) for the Integrated Site and to present its content via ISM
+ or to a management system. And also to maintain the subracks usage.
+ </description>
+ <singleton/>
+ <systemCreated/>
+
+ <attribute name="activeSis">
+ <description>
+ The subrack,slot of the active om SIS. The format is "subrack.slot".
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <action name="actComplexParams">
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="structParameter">
+ <dataType>
+ <struct>
+ <structMember name="a">
+ <dataType><integer/></dataType>
+ </structMember>
+ <structMember name="b">
+ <dataType><integer/></dataType>
+ </structMember>
+ </struct>
+ </dataType>
+ </parameter>
+ </action>
+
+ <action name="actComplexReturn">
+ <returnType>
+ <dataType>
+ <struct>
+ <structMember name="a">
+ <dataType><integer/></dataType>
+ </structMember>
+ <structMember name="b">
+ <dataType><integer/></dataType>
+ </structMember>
+ </struct>
+ </dataType>
+ </returnType>
+ </action>
+
+ <action name="actException">
+ <returnType>
+ <void/>
+ </returnType>
+ <raisesException name="dummyException"/>
+ </action>
+
+ <action name="createInfraStructure">
+ <description>
+ Creates the base IS infrastructure.
+ This is noramlly done at factory.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+
+ <action name="exportInventory">
+ <description>
+ Exports the Hardware Inventory via FTP.
+ This is an asyncrounous operation. The file exported
+ will have the following name format:
+ &lt;node&gt;.&lt;timestamp&gt;.HWI.xml.tar.gz
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="host">
+ <description>
+ The host to which the data should be sent to
+ </description>
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="user">
+ <description>
+ The username on the host to be used during the FTP transfer.
+ </description>
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="password">
+ <description>
+ The pasword of the user
+ </description>
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="path">
+ <description>
+ The path were to put the file
+ </description>
+ <dataType><string/></dataType>
+ </parameter>
+ </action>
+
+ </class>
+
+ <class name="BladeType">
+ <description>
+ This class describes a Blade type.
+ </description>
+ <systemCreated/>
+
+ <attribute name="type">
+ <description>The blade type number like CNA12801</description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="swgId">
+ <description>The blade software group index link CSX10138</description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="swgRev">
+ <description>The blade software group revision</description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="name">
+ <description>The name of the Blade Type.</description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="width">
+ <description>The width of the blade in number of slots.</description>
+ <dataType>
+ <integer/>
+ </dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="oneGLinks">
+ <description>
+ The 1G links used by the blade type , like 0,1, counted from
+ the leftmost backplane position.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="linkAggregates">
+ <description>
+ The 1G links used for link aggregation by the blade type , like 0-1,
+ counted from the leftmost backplane position.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="hasHwData">
+ <description>
+ True if possible to read product info via the maintenance bus.
+ </description>
+ <dataType><boolean/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="isMxb">
+ <description>True if this is a Main Switch blade type.</description>
+ <dataType><boolean/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="availOneGSlots">
+ <description>
+ Only valid for main switch blades. A list of slots that have 1G link.
+ Could be a single slot or a range or a combination thereof.
+ E.g. 1-24, or 1,3-13,15-24.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="aggregateRanges">
+ <description>
+ Only valid for main switch blades. Specifies which slots can be used
+ for link aggregation. Ranges are specified in the form 1-12,13-24.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ </class>
+
+
+ <class name="BladeSystemDomain">
+ <description>
+ This class describes a Blade system domain.
+ </description>
+ <systemCreated/>
+
+ <attribute name="name">
+ <description>The blade system domain name.</description>
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="description">
+ <description>The description of the blade system domain.</description>
+ <dataType><string/></dataType>
+<!-- <key/> -->
+ </attribute>
+
+ </class>
+
+
+ <class name="Subrack">
+ <description>
+ This class describes the subrack.
+ </description>
+ <extension>
+ <callpoint id="Is_Hardware_Subrack" type="external"/>
+<!-- <validate id="Is_Hardware_Subrack" type="external" callOnce="true"/>-->
+ </extension>
+
+ <attribute name="id">
+ <description>Identifies a certain subrack.</description>
+ <dataType>
+ <integer><range><min>0</min><max>31</max></range></integer>
+ </dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="type">
+ <description>The type of subrack.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="userLabel">
+ <description>A user friendly Subrack name.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="position">
+ <description>The subrack position in the cabinet.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="cabinetPosition">
+ <description>The cabinet position in the site.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="location">
+ <description>The site location, e.g. the visiting address.</description>
+ <dataType><string/></dataType>
+ </attribute>
+
+ <attribute name="availableOneGSlots">
+ <description>
+ Available slots for 1G switch links. Information taken from the type
+ of main switch blade that is created in the subrack.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="availableTenGSlots">
+ <description>
+ Available slots for 10G switch links. Information taken from the type
+ of main switch blade that is created in the subrack.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="aggregateSlots">
+ <description>
+ Links ranges for (1G) link aggregation. Information taken from the
+ type of main switch blade that is created in the subrack.
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="physicalAddrPlug0">
+ <description>
+ The value of the physical plug 0.
+ </description>
+ <dataType><integer/></dataType>
+ <mandatory/>
+ </attribute>
+
+ <attribute name="physicalAddrPlug1">
+ <description>
+ The value of the physical plug 1.
+ </description>
+ <dataType><integer/></dataType>
+ <mandatory/>
+ </attribute>
+
+ <attribute name="physicalAddrPlug3">
+ <description>
+ The value of the physical plug 3.
+ </description>
+ <dataType><integer/></dataType>
+ <mandatory/>
+ </attribute>
+
+ <attribute name="leftMxbBsSwgId">
+ <description>
+ The BS SWG Id of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="leftMxbBsSwgRev">
+ <description>
+ The BS SWG Revision of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="leftMxbBsCxrId">
+ <description>
+ The BS CXR Id (if any) of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="leftMxbBsCxrRev">
+ <description>
+ The BS CXR Revision (if any) of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="leftMxbBlSwgId">
+ <description>
+ The BL SWG Id of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="leftMxbBlSwgRev">
+ <description>
+ The BL SWG Revision of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="leftMxbBlCxrId">
+ <description>
+ The BL CXR Id (if any) of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="leftMxbBlCxrRev">
+ <description>
+ The BL CXR Revision (if any) of the left MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBsSwgId">
+ <description>
+ The BS SWG Id of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBsSwgRev">
+ <description>
+ The BS SWG Revision of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBsCxrId">
+ <description>
+ The BS CXR Id (if any) of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBsCxrRev">
+ <description>
+ The BS CXR Revision (if any) of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBlSwgId">
+ <description>
+ The BL SWG Id of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBlSwgRev">
+ <description>
+ The BL SWG Revision of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBlCxrId">
+ <description>
+ The BL CXR Id (if any) of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+
+ <attribute name="rightMxbBlCxrRev">
+ <description>
+ The BL CXR Revision (if any) of the right MXB in the subrack.
+ If not given the system will use the same as the
+ MXB in subrack 0. This might not be corect however.
+ </description>
+ <dataType><string/></dataType>
+ <restricted/>
+ </attribute>
+ </class>
+
+ <class name="Slot">
+ <description>
+ This class corresponds to slots, there is one instance
+ for each slot. The slots are grouped per subrack. A slot is
+ identified by subrack Id and slot number). The subrack Id for a slot
+ identifies in the subrackTable the subrack that the slot belongs
+ to.
+ </description>
+ <systemCreated/>
+
+ <attribute name="number">
+ <description>
+ The value of this object identifies the
+ slot position within the subrack.
+ </description>
+ <dataType>
+ <integer><range><min>0</min><max>25</max></range></integer>
+ </dataType>
+ <key/>
+ </attribute>
+
+ <attribute name="state">
+ <description>
+ See HwmSlotState description.
+ </description>
+ <dataType name.ref="HwmSlotState"/>
+ <readOnly/>
+ </attribute>
+
+ <attribute name="bladeSystem">
+ <description>
+ The value of this object identifies the Blade System that uses this
+ slot. An instances of this object will only have meaning in a
+ conceptual row if the value of hwmSlotState instance is either
+ usedOccupied or usedEmpty in the same conceptual row,
+ i.e. there is an Blade System created using this slot. (If
+ no Blade System is using the slot, the value will be "-".)
+ </description>
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+
+ </class>
+
+
+ <!--
+ Relatonship Definitions
+ -->
+
+ <relationship name="HwmTop">
+ <containment>
+ <parent>
+ <hasClass name="top_mim:Is"/>
+ </parent>
+ <child>
+ <hasClass name="Hardware"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="BladeTypes">
+ <containment>
+ <parent>
+ <hasClass name="Hardware"/>
+ </parent>
+ <child>
+ <hasClass name="BladeType"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="BladeSystemDomains">
+ <containment>
+ <parent>
+ <hasClass name="Hardware"/>
+ </parent>
+ <child>
+ <hasClass name="BladeSystemDomain"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Subracks">
+ <containment>
+ <parent>
+ <hasClass name="Hardware"/>
+ </parent>
+ <child>
+ <hasClass name="Subrack"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Slots">
+ <containment>
+ <parent>
+ <hasClass name="Subrack"/>
+ </parent>
+ <child>
+ <hasClass name="Slot"/>
+ </child>
+ </containment>
+ </relationship>
+</mim>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/instance.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/instance.xml
new file mode 100644
index 0000000000..e39152cada
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/instance.xml
@@ -0,0 +1,240 @@
+<?xml version="1.0" encoding="iso-8859-1"?>
+
+<!-- Copyright (C) Ericsson AB 2006 All rights reserved. -->
+
+<!-- Integrated Site Managed Object Model. -->
+
+<!--
+ This is a template for the IntegratedSite model version 1,
+ which consists of the following mim files:
+ /vobs/isac/sis/OAM_CRA1190047_1/CPD_CNA11381/doc/19062/cpd_mim.xml
+ /vobs/isac/sis/OAM_CRA1190047_1/HWM_CNA11309/doc/19062/hwm_mim.xml
+ /vobs/isac/sis/OAM_CRA1190047_1/SCA_CNA113119/doc/19062/bs_mim.xml
+ /vobs/isac/sis/OAM_CRA1190047_1/SWM_CNA11315/doc/19062/swm_mim.xml
+ /vobs/isac/sis/OAM_CRA1190047_1/doc/19062/top_mim.xml
+
+-->
+<IntegratedSite:model xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:nc="http://www.ericsson.com/is/isco/nc" xmlns:IntegratedSite="http://www.ericsson.com/is/isco/IntegratedSite" xmlns:IsTypes="http://www.ericsson.com/is/isco/IsTypes" xmlns:MainSwitch="http://www.ericsson.com/is/isco/MainSwitch_1" xmlns:MyBsModel="http://www.ericsson.com/is/isco/MyBsModel_1" xsi:schemaLocation="http://www.ericsson.com/is/isco/IntegratedSite IntegratedSite.xsd">
+
+ <!--
+ The top class - the forefather of all classes.
+
+ Key attributes: []
+
+ Possible children:
+ BladeSystems
+ Hardware
+ NetworkConfiguration
+ Software
+ -->
+ <Is>
+
+
+ <!-- These three are just for verifying that the xml schema allows
+ the correct order of the child elements of the Is class -->
+<!--
+ <Hardware/>
+ <Software/>
+ <NetworkConfiguration/>
+-->
+
+ <!--
+ The BladeSystems mountpoint - parent of all blade systems.
+
+ Key attributes: []
+
+ Possible children:
+ BladeSystemTemplate
+ Mxb
+ MyBsModel
+ Sis
+ -->
+ <BladeSystems>
+
+ <!--
+ This class describes a Blade System. It will be cloned for each
+ new blade system type registered in the system. The new clone
+ will serve as a mountpoint for the blade system specific model.
+
+ Key attributes: [name]
+
+ Possible children:
+ Blade
+ BsIpTrafficClass
+ BsLanTrafficClass
+ BsLogicalNetwork
+ BsVlan
+ -->
+ <MyBsModel>
+ <!--
+ A user friendly Blade System name, must be set at creation and can be
+ changed when BS is locked.
+ -->
+ <name>bs_localhost_101</name>
+ <!--
+ An identifier for the BS. A new integer has to be used every time a new
+ BS is created. ??? Kvar ???
+ -->
+ <!--
+ The model for a blade system. Includes som mim files, which also uses
+ stuff from a sis model.
+ -->
+
+ <!--
+ This is a template for the MyBsModel model version 1,
+ which consists of the following mim files:
+ /vobs/isac/sis/OAM_CRA1190047_1/CCS_CNA113082/test/local_test/ccs_parser_SUITE_data/myTest_mim.xml, Version: /main/R2A/10
+ /vobs/isac/sis/OAM_CRA1190047_1/CCS_CNA113082/test/local_test/ccs_parser_SUITE_data/other_mim.xml
+
+ -->
+ <MyBsModel:model>
+
+ <!--
+ This is a class which can be assigned as an attribute of ChildClass.
+ Key attribute is 'id'.
+
+ Key attributes: [id]
+ -->
+ <Blipp nc:operation="replace">
+ <id>name1</id>
+ <truefalse>true</truefalse>
+ <ipAddress>
+ 10.11.12.13
+ </ipAddress>
+ <macAddress>AA:BB:CC:DD:EE:FF</macAddress>
+ <moAttributeDeep nc:operation="replace">
+ /IntegratedSite:model/Is/BladeSystems/MyBsModel[name='bs_localhost_101']/MyBsModel:model/MyClass[id1='Obj'][id2='1']/ChildClass[id='Obj2']
+ </moAttributeDeep>
+ </Blipp>
+
+ <Blipp>
+ <id>name2</id>
+ <truefalse>false</truefalse>
+ <ipAddress>20.21.22.23</ipAddress>
+ <macAddress>01:02:03:04:5:6</macAddress>
+ <moAttributeDeep>
+ MyClass[id1='Obj'][id2='1']/ChildClass[id='Obj3']
+ </moAttributeDeep>
+ </Blipp>
+
+ <!--
+ My Test Class
+ The class hierarky looks like this:
+
+ +==========+
+ |MountPoint|
+ +==========+
+ / \
+ / \
+ +=======+ +=======+
+ | Blipp | |MyClass|
+ +=======+ +=======+
+ |
+ |
+ +==========+
+ |ChildClass|
+ +==========+
+
+ Key attributes: [id1,id2]
+
+ Possible children:
+ ChildClass:
+ Definition of children under MyClass
+ -->
+ <MyClass>
+ <!-- Test class identifyer - part1 -->
+ <id1>Obj</id1>
+ <!-- Test class identifyer - part2 -->
+ <id2>1</id2>
+ <date>2006-03-08T03:00:00.9+03:00</date>
+ <date2>2006-03-15T22:59:58.99-01:00</date2>
+ <list>
+ <item>0</item>
+ <item>1</item>
+ <item nc:operation="delete">3</item>
+ </list>
+ <list2>
+ <item>
+ <!-- Struct: sis_model:uselessStruct -->
+ <field1>hei</field1>
+ <field2 nc:operation="replace">1</field2>
+ </item>
+ <item nc:operation="replace">
+ <!-- Struct: sis_model:uselessStruct -->
+ <field1>
+ hopp
+ </field1>
+ <field2>2</field2>
+ </item>
+ </list2>
+ <list3>
+ <item>hei</item>
+ <item>hopp</item>
+ </list3>
+ <yesNo>
+ yes
+ </yesNo>
+ <!-- Attribute description. -->
+ <commonStruct>
+ <!--
+ Struct: myNamedStruct
+ Struct description
+ -->
+ <counter>0</counter>
+ <state>locked</state>
+ <dummyStructRef>
+ <!-- Struct: sis_model:uselessStruct -->
+ <field1>hei</field1>
+ <field2>1</field2>
+ </dummyStructRef>
+ <dummySequence>
+ <item>
+ <field1>hopp</field1>
+ <field2>2</field2>
+ </item>
+ <item>
+ <field1>sa</field1>
+ <field2>3</field2>
+ </item>
+ <item>
+ <field1>sa</field1>
+ <field2>4</field2>
+ </item>
+ </dummySequence>
+ <inlineStruct>
+ <a>1</a>
+ <b>1.0</b>
+ <c>true</c>
+ </inlineStruct>
+ </commonStruct>
+ <dummyInternal>hei</dummyInternal>
+ <trueOrFalse>false</trueOrFalse>
+ <!--
+ Child of MyClass
+
+ Key attributes: [id]
+ -->
+ <ChildClass>
+ <id>Obj2</id>
+ <moAttribute>/IntegratedSite:model/Is/BladeSystems/MyBsModel[name='bs_localhost_101']/MyBsModel:model/Blipp[id='name1']</moAttribute>
+ <moAttributeNamed>
+ /IntegratedSite:model/Is/BladeSystems/MyBsModel[name='bs_localhost_101']/MyBsModel:model/MyClass[id1='Obj'][id2='1']
+ </moAttributeNamed>
+ </ChildClass>
+ <ChildClass>
+ <id>Obj3</id>
+ <moAttribute>../../Blipp[id='name2']</moAttribute>
+<!-- <moAttributeNamed>../MyClass[id1='Obj'][id2='1']</moAttributeNamed> -->
+ <moAttributeNamed>Dummy/MyClass[id1='Obj'][id2='1']</moAttributeNamed>
+ </ChildClass>
+ </MyClass>
+
+ </MyBsModel:model>
+ </MyBsModel>
+
+ </BladeSystems>
+<!--
+ <MainSwitch:model/>
+-->
+ </Is>
+</IntegratedSite:model>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim.xsd
new file mode 100644
index 0000000000..e0534e8e14
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim.xsd
@@ -0,0 +1,486 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<xs:schema targetNamespace="http://www.ericsson.com/is/isco/mim/2.0" xmlns="http://www.ericsson.com/is/isco/mim/2.0" xmlns:xs="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified">
+ <!--
+ ============================================================================
+ %CCaseFile: mim.xsd %
+ %CCaseRev: /main/P4B/1 %
+ %CCaseDate: 2007-05-29 %
+ %CCaseDocNo: 22/155 19-CNA 113 082 Ux %
+ %Rev: Error in Revision! %
+ Author: EAB/AUL/IS Siri Hansen
+
+ ============================================================================
+
+ Copyright (c) Ericsson AB 2006-2007 All rights reserved.
+
+ The information in this document is the property of Ericsson.
+
+ Except as specifically authorized in writing by Ericsson, the
+ receiver of this document shall keep the information contained
+ herein confidential and shall protect the same in whole or in
+ part from disclosure and dissemination to third parties.
+
+ Disclosure and disseminations to the receivers employees shall
+ only be made on a strict need to know basis.
+ -->
+ <!-- Common -->
+ <xs:element name="description" type="xs:string"/>
+ <xs:element name="extension">
+ <xs:complexType>
+ <xs:group minOccurs="0" maxOccurs="unbounded" ref="anyElement"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:attributeGroup name="anyAttribute">
+ <xs:anyAttribute processContents="skip"/>
+ </xs:attributeGroup>
+ <xs:group name="anyElement">
+ <xs:sequence>
+ <xs:any processContents="skip"/>
+ </xs:sequence>
+ </xs:group>
+ <!-- Mim definition -->
+ <xs:element name="mim">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="topClass"/>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:group ref="dataTypeDef"/>
+ <xs:element ref="exception"/>
+ </xs:choice>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="class"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="relationship"/>
+ </xs:sequence>
+ <xs:attribute name="version"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="topClass">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="sortPriority"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ <xs:attribute name="minOccurs"/>
+ <xs:attribute name="maxOccurs"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- MO class definition -->
+ <xs:element name="class">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="default_access"/>
+ <xs:choice>
+ <xs:sequence>
+ <xs:element ref="singleton"/>
+ <xs:element minOccurs="0" ref="systemCreated"/>
+ <xs:element minOccurs="0" ref="readOnly"/>
+ <xs:group minOccurs="0" maxOccurs="unbounded" ref="dataTypeDef"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="attribute"/>
+ </xs:sequence>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="systemCreated"/>
+ <xs:element minOccurs="0" ref="readOnly"/>
+ <xs:group minOccurs="0" maxOccurs="unbounded" ref="dataTypeDef"/>
+ <xs:element maxOccurs="unbounded" ref="attribute"/>
+ </xs:sequence>
+ </xs:choice>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="action"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[A-Z][A-Za-z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="singleton">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="systemCreated">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Relations -->
+ <xs:element name="relationship">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element ref="containment"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="containment">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="parent"/>
+ <xs:element ref="child"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="parent" type="hasClass"/>
+ <xs:element name="child">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="hasClass">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="cardinality"/>
+ <xs:element minOccurs="0" ref="sortPriority"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="sortPriority" type="xs:int"/>
+ <xs:element name="cardinality" type="rangeType"/>
+ <xs:complexType name="hasClass">
+ <xs:sequence>
+ <xs:element ref="hasClass"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="hasClass">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- Data types -->
+ <xs:element name="simple_type" abstract="true" substitutionGroup="type"/>
+ <xs:element name="type" abstract="true"/>
+ <!-- For attributes, parameters and exceptions -->
+ <xs:group name="dataType">
+ <xs:sequence>
+ <xs:element name="dataType" nillable="true">
+ <xs:complexType>
+ <xs:choice>
+ <xs:element ref="type" minOccurs="0"/>
+ <xs:element ref="xs_list" minOccurs="0"/>
+ </xs:choice>
+ <xs:attribute name="name.ref" use="optional"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:group name="simpleDataType">
+ <xs:sequence>
+ <xs:element name="dataType" nillable="true">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="simple_type" minOccurs="0"/>
+ </xs:sequence>
+ <xs:attribute name="name.ref" use="optional"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:group name="dataTypeDef">
+ <xs:sequence>
+ <xs:element name="dataType">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:choice>
+ <xs:element ref="type"/>
+ <xs:element ref="xs_list"/>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <!-- For actions -->
+ <xs:element name="returnType">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:choice>
+ <xs:element ref="void"/>
+ <xs:group ref="dataType"/>
+ </xs:choice>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <!-- Return data types -->
+ <xs:element name="void">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Primitive data types -->
+ <xs:complexType name="stringRestrictionType">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="lengthRange"/>
+ <xs:element minOccurs="0" ref="validValues"/>
+ <xs:element minOccurs="0" ref="pattern"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="dateTimeRestrictionType">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="range"/>
+ <xs:element minOccurs="0" ref="validValues"/>
+ <xs:element minOccurs="0" ref="pattern"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="numRestrictionType">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="range"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="boolean" substitutionGroup="simple_type">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="dateTime" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="float" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="integer" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="string" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="pattern" type="xs:string"/>
+ <xs:element name="validValues">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element maxOccurs="unbounded" ref="value"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="value">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="lengthRange" type="rangeType"/>
+ <xs:element name="range" type="rangeType"/>
+ <xs:complexType name="rangeType">
+ <xs:sequence>
+ <xs:element name="min" type="xs:string" minOccurs="0"/>
+ <xs:element name="max" type="xs:string" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="defaultValue" type="xs:string"/>
+ <!-- Struct data type -->
+ <xs:element name="struct" substitutionGroup="type">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element maxOccurs="unbounded" ref="structMember"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="structMember">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:group ref="dataType"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- List data type -->
+ <xs:element name="xs_list">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:group ref="simpleDataType"/>
+ <xs:element minOccurs="0" ref="lengthRange"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <!-- MoRef data type -->
+ <xs:element name="moRef" substitutionGroup="simple_type">
+ <xs:complexType>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[A-Z][A-Za-z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <!-- Attribute -->
+ <xs:element name="attribute">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="default_access"/>
+ <xs:group ref="dataType"/>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:element ref="initialValue"/>
+ <xs:element ref="pmData"/>
+ <xs:choice>
+ <xs:choice>
+ <xs:element ref="key"/>
+ <xs:element ref="readOnly"/>
+ </xs:choice>
+ <xs:choice>
+ <xs:choice>
+ <xs:element ref="readOnly"/>
+ <xs:choice>
+ <xs:element ref="mandatory"/>
+ <xs:element ref="restricted"/>
+ </xs:choice>
+ </xs:choice>
+ <xs:element ref="nonPersistent"/>
+ </xs:choice>
+ </xs:choice>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[a-z][a-zA-Z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="initialValue" type="xs:string"/>
+ <xs:element name="key">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="mandatory">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="nonPersistent">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="pmData">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="extension"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="readOnly">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="restricted">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Action -->
+ <xs:element name="action">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="default_access"/>
+ <xs:element ref="returnType"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="parameter"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="raisesException"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[a-z][a-zA-Z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="parameter">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:group ref="dataType"/>
+ <xs:element minOccurs="0" ref="initialValue"/>
+ <xs:element minOccurs="0" ref="isOptional"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="isOptional">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="exception">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="exceptionParameter"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="exceptionParameter">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:group ref="dataType"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="raisesException">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+
+ <!-- xs types, introduced in IS 2.0 -->
+ <xs:element name="xs_normalizedString" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_token" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_string" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_base64Binary" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_hexBinary" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_integer" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_positiveInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_negativeInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_nonNegativeInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_nonPositiveInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_long" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedLong" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_int" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedInt" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_short" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedShort" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_byte" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedByte" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_decimal" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_float" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_double" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_boolean" type="numRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_duration" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_dateTime" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_date" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_time" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gYear" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gYearMonth" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gMonth" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gMonthDay" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gDay" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_QName" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_Name" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_NCName" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_anyURI" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_language" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <!-- Authorization information -->
+ <xs:element name="default_access">
+ <xs:complexType>
+ <xs:attribute name="role" type="xs:token" use="required"/>
+ <xs:attribute name="permissions" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:token">
+ <xs:pattern value="[rwx]{3}"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+</xs:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim2.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim2.xsd
new file mode 100644
index 0000000000..da6ff6bd7d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/mim2.xsd
@@ -0,0 +1,506 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<xs:schema targetNamespace="http://www.ericsson.com/is/isco/mim/2.0" xmlns="http://www.ericsson.com/is/isco/mim/2.0" xmlns:xs="http://www.w3.org/2001/XMLSchema" elementFormDefault="qualified">
+ <!--
+ ============================================================================
+ %CCaseFile: mim.xsd %
+ %CCaseRev: /main/P4B/3 %
+ %CCaseDate: 2007-06-07 %
+ %CCaseDocNo: 22/155 19-CNA 113 082 Ux %
+ %Rev: Error in Revision! %
+ Author: EAB/AUL/IS Siri Hansen
+
+ ============================================================================
+
+ Copyright (c) Ericsson AB 2006-2007 All rights reserved.
+
+ The information in this document is the property of Ericsson.
+
+ Except as specifically authorized in writing by Ericsson, the
+ receiver of this document shall keep the information contained
+ herein confidential and shall protect the same in whole or in
+ part from disclosure and dissemination to third parties.
+
+ Disclosure and disseminations to the receivers employees shall
+ only be made on a strict need to know basis.
+ -->
+ <!-- Common -->
+ <xs:element name="description" type="xs:string"/>
+ <xs:element name="extension">
+ <xs:complexType>
+ <xs:group minOccurs="0" maxOccurs="unbounded" ref="anyElement"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:attributeGroup name="anyAttribute">
+ <xs:anyAttribute processContents="skip"/>
+ </xs:attributeGroup>
+ <xs:group name="anyElement">
+ <xs:sequence>
+ <xs:any processContents="skip"/>
+ </xs:sequence>
+ </xs:group>
+ <!-- Mim definition -->
+ <xs:element name="mim">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="topClass"/>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:group ref="dataTypeDef"/>
+ <xs:element ref="exception"/>
+ </xs:choice>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="class"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="relationship"/>
+ </xs:sequence>
+ <xs:attribute name="version"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="topClass">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="sortPriority"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ <xs:attribute name="minOccurs"/>
+ <xs:attribute name="maxOccurs"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- MO class definition -->
+ <xs:element name="class">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="default_access"/>
+ <xs:choice>
+ <xs:sequence>
+ <xs:element ref="singleton"/>
+ <xs:element minOccurs="0" ref="systemCreated"/>
+ <xs:element minOccurs="0" ref="readOnly"/>
+ <xs:group minOccurs="0" maxOccurs="unbounded" ref="dataTypeDef"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="attribute"/>
+ </xs:sequence>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="systemCreated"/>
+ <xs:element minOccurs="0" ref="readOnly"/>
+ <xs:group minOccurs="0" maxOccurs="unbounded" ref="dataTypeDef"/>
+ <xs:element maxOccurs="unbounded" ref="attribute"/>
+ </xs:sequence>
+ </xs:choice>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="action"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[A-Z][A-Za-z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="singleton">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="systemCreated">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Relations -->
+ <xs:element name="relationship">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element ref="containment"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="containment">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="parent"/>
+ <xs:element ref="child"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="parent" type="hasClass"/>
+ <xs:element name="child">
+ <xs:complexType>
+ <xs:complexContent>
+ <xs:extension base="hasClass">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="cardinality"/>
+ <xs:element minOccurs="0" ref="sortPriority"/>
+ </xs:sequence>
+ </xs:extension>
+ </xs:complexContent>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="sortPriority" type="xs:int"/>
+ <xs:element name="cardinality" type="rangeType"/>
+ <xs:complexType name="hasClass">
+ <xs:sequence>
+ <xs:element ref="hasClass"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="hasClass">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- Data types -->
+ <xs:element name="simple_type" abstract="true" substitutionGroup="type"/>
+ <xs:element name="type" abstract="true"/>
+ <!-- For attributes, parameters and exceptions -->
+ <xs:group name="dataType">
+ <xs:sequence>
+ <xs:element name="dataType" nillable="true">
+ <xs:complexType>
+ <xs:choice>
+ <xs:element ref="type" minOccurs="0"/>
+ <xs:element ref="xs_list" minOccurs="0"/>
+ </xs:choice>
+ <xs:attribute name="name.ref" use="optional"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:group name="simpleDataType">
+ <xs:sequence>
+ <xs:element name="dataType" nillable="true">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="simple_type" minOccurs="0"/>
+ </xs:sequence>
+ <xs:attribute name="name.ref" use="optional"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <xs:group name="dataTypeDef">
+ <xs:sequence>
+ <xs:element name="dataType">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:choice>
+ <xs:element ref="type"/>
+ <xs:element ref="xs_list"/>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:group>
+ <!-- For actions -->
+ <xs:element name="returnType">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:choice>
+ <xs:element ref="void"/>
+ <xs:group ref="dataType"/>
+ </xs:choice>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <!-- Return data types -->
+ <xs:element name="void">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Primitive data types -->
+ <xs:complexType name="stringRestrictionType">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="lengthRange"/>
+ <xs:element minOccurs="0" ref="validValues"/>
+ <xs:element minOccurs="0" ref="pattern"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="dateTimeRestrictionType">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="range"/>
+ <xs:element minOccurs="0" ref="validValues"/>
+ <xs:element minOccurs="0" ref="pattern"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:complexType name="numRestrictionType">
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="range"/>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="boolean" substitutionGroup="simple_type">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="dateTime" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="float" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="integer" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="string" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="pattern" type="xs:string"/>
+ <xs:element name="validValues">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element maxOccurs="unbounded" ref="value"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="value">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="lengthRange" type="rangeType"/>
+ <xs:element name="range" type="rangeType"/>
+ <xs:complexType name="rangeType">
+ <xs:sequence>
+ <xs:element name="min" type="xs:string" minOccurs="0"/>
+ <xs:element name="max" type="xs:string" minOccurs="0"/>
+ </xs:sequence>
+ </xs:complexType>
+ <xs:element name="defaultValue" type="xs:string"/>
+ <!-- Struct data type -->
+ <xs:element name="struct" substitutionGroup="type">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element maxOccurs="unbounded" ref="structMember"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="structMember">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:group ref="dataType"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <!-- List data type -->
+ <xs:element name="xs_list">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:group ref="simpleDataType"/>
+ <xs:element minOccurs="0" ref="lengthRange"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <!-- MoRef data type -->
+ <xs:element name="moRef" substitutionGroup="simple_type">
+ <xs:complexType>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[A-Z][A-Za-z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <!-- Attribute -->
+ <xs:element name="attribute">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="default_access"/>
+ <xs:group ref="dataType"/>
+ <xs:choice minOccurs="0" maxOccurs="unbounded">
+ <xs:element ref="initialValue"/>
+ <xs:element ref="pmData"/>
+ <xs:choice>
+ <xs:choice>
+ <xs:element ref="key"/>
+ <xs:element ref="readOnly"/>
+ </xs:choice>
+ <xs:choice>
+ <xs:choice>
+ <xs:element ref="readOnly"/>
+ <xs:choice>
+ <xs:element ref="mandatory"/>
+ <xs:element ref="restricted"/>
+ </xs:choice>
+ </xs:choice>
+ <xs:element ref="nonPersistent"/>
+ </xs:choice>
+ </xs:choice>
+ </xs:choice>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[a-z][a-zA-Z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="initialValue" type="xs:string"/>
+ <xs:element name="key">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="mandatory">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="nonPersistent">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="pmData">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="readOnly">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="restricted">
+ <xs:complexType/>
+ </xs:element>
+ <!-- Action -->
+ <xs:element name="action">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="default_access"/>
+ <xs:element ref="returnType"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="parameter"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="raisesException"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:string">
+ <xs:pattern value="[a-z][a-zA-Z0-9]*"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="parameter">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:group ref="dataType"/>
+ <xs:element minOccurs="0" ref="initialValue"/>
+ <xs:element minOccurs="0" ref="isOptional"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="isOptional">
+ <xs:complexType/>
+ </xs:element>
+ <xs:element name="exception">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:element minOccurs="0" maxOccurs="unbounded" ref="exceptionParameter"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="exceptionParameter">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="description"/>
+ <xs:element minOccurs="0" ref="extension"/>
+ <xs:group ref="dataType"/>
+ </xs:sequence>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+ <xs:element name="raisesException">
+ <xs:complexType>
+ <xs:attribute name="name" use="required"/>
+ </xs:complexType>
+ </xs:element>
+
+ <!-- xs types, introduced in IS 2.0 -->
+ <xs:element name="xs_normalizedString" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_token" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_string" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_base64Binary" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_hexBinary" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_integer" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_positiveInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_negativeInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_nonNegativeInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_nonPositiveInteger" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_long" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedLong" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_int" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedInt" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_short" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedShort" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_byte" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_unsignedByte" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_decimal" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_float" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_double" type="numRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_boolean" substitutionGroup="simple_type">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="defaultValue"/>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+
+ <xs:element name="xs_duration" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_dateTime" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_date" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_time" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gYear" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gYearMonth" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gMonth" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gMonthDay" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_gDay" type="dateTimeRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_QName" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_Name" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_NCName" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <xs:element name="xs_anyURI" type="stringRestrictionType" substitutionGroup="simple_type"/>
+ <xs:element name="xs_language" type="stringRestrictionType" substitutionGroup="simple_type"/>
+
+ <!-- Password type -->
+ <!-- This is a string, which will not be visible in the CLI or in any logs. -->
+ <!-- The 'encrypt' attribute indicates if the string shall be sent encrypted -->
+ <!-- or in clear text to the blade system -->
+ <xs:element name="password" substitutionGroup="simple_type">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element minOccurs="0" ref="lengthRange"/>
+ </xs:sequence>
+ <xs:attribute name="enrypt" type="xs:boolean" use="optional"/>
+ </xs:complexType>
+ </xs:element>
+
+ <!-- Authorization information -->
+ <xs:element name="default_access">
+ <xs:complexType>
+ <xs:attribute name="role" type="xs:token" use="required"/>
+ <xs:attribute name="permissions" use="required">
+ <xs:simpleType>
+ <xs:restriction base="xs:token">
+ <xs:pattern value="[rwx]{3}"/>
+ </xs:restriction>
+ </xs:simpleType>
+ </xs:attribute>
+ </xs:complexType>
+ </xs:element>
+</xs:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/nc.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/nc.xsd
new file mode 100644
index 0000000000..60a320a61e
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/nc.xsd
@@ -0,0 +1,14 @@
+<?xml version="1.0" encoding="iso-8859-1"?>
+<xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema" targetNamespace="http://www.ericsson.com/is/isco/nc" xmlns="http://www.ericsson.com/is/isco/nc">
+ <xsd:attribute name="operation">
+ <xsd:simpleType>
+ <xsd:restriction base="xsd:normalizedString">
+ <xsd:whiteSpace value="collapse"/>
+ <xsd:enumeration value="merge"/>
+ <xsd:enumeration value="create"/>
+ <xsd:enumeration value="replace"/>
+ <xsd:enumeration value="delete"/>
+ </xsd:restriction>
+ </xsd:simpleType>
+ </xsd:attribute>
+</xsd:schema>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/swm_mim.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/swm_mim.xml
new file mode 100644
index 0000000000..90afcb2b68
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/sis/swm_mim.xml
@@ -0,0 +1,881 @@
+<?xml version="1.0" encoding="ISO-8859-1" ?>
+
+<!--
+
+Copyright (C) Ericsson AB 2006 All rights reserved.
+
+The information in this document is the property of Ericsson.
+
+Except as specifically authorized in writing by Ericsson, the
+receiver of this document shall keep the information contained
+herein confidential and shall protext the same in whole or in part
+from disclosure and dissemination to third parties.
+
+Disclosure and disseminations to the receivers employees shall
+only be made on a strict need to know basis.
+
+-->
+
+
+<!-- MIM file for SWM -->
+
+
+ <mim xmlns="http://www.ericsson.com/is/isco/mim/2.0" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.ericsson.com/is/isco/mim/2.0 /vobs/isac/sis/OAM_CRA1190047_1/CCS_CNA113082/doc/15519/mim.xsd ">
+
+ <!--___ Data types ________________________________ -->
+
+ <dataType name="SwmMatchStrategy">
+ <description>
+ Interpretation of given filename and path .
+ </description>
+ <string>
+ <validValues>
+ <value name="exact"/>
+ <value name="prefix"/>
+ <value name="unknown"/>
+ </validValues>
+ <!--defaultValue>exact</defaultValue-->
+ </string>
+ </dataType>
+
+ <dataType name="SwmDownloadStrategy">
+ <description>
+ Interpretation according to existing files.
+ </description>
+ <string>
+ <validValues>
+ <value name="onlyIfMissing"/>
+ <value name="always"/>
+ <value name="unknown"/>
+ </validValues>
+ <!--defaultValue>onlyIfMissing</defaultValue-->
+ </string>
+ </dataType>
+
+ <dataType name="ContainerType">
+ <description>
+ Type of a load module container.
+ </description>
+ <string>
+ <validValues>
+ <value name="bladeSystemInformation"/>
+ <value name="bladeInformation"/>
+ <value name="application"/>
+ <value name="rootFileSystem"/>
+ <value name="kernel"/>
+ <value name="correction"/>
+ </validValues>
+ <!--defaultValue>bladeSystemInformation</defaultValue-->
+ </string>
+ </dataType>
+
+
+ <dataType name="SwgStatus">
+ <description>
+ Indicates status of software groups. A software group is
+ complete if all included load module containers have been
+ downloaded and unpacked correctly. A software group is inconsistent
+ if the checksums of the load module containers do not match the
+ information in the system information CXP, or if the XML
+ data could not be registered.
+ </description>
+ <string>
+ <validValues>
+ <value name="complete"/>
+ <value name="incomplete"/>
+ <value name="inconsistent"/>
+ </validValues>
+ <!--defaultValue>incomplete</defaultValue-->
+ </string>
+ </dataType>
+
+ <dataType name="JobData">
+ <description>
+ Data to specify job progress, during its execution.
+ </description>
+ <struct>
+ <structMember name="jobDateOrdered">
+ <dataType><dateTime/></dataType>
+ </structMember>
+ <structMember name="jobStatus">
+ <description>
+ Indicator of job status.
+ A job should be started immediately, but could be postponed
+ if the total load on the system would become too high.
+ </description>
+ <dataType>
+ <string>
+ <validValues>
+ <value name="notStarted"/>
+ <value name="ongoing"/>
+ <value name="complete"/>
+ </validValues>
+ <!--defaultValue>notStarted</defaultValue-->
+ </string>
+ </dataType>
+ </structMember>
+ <structMember name="jobResult">
+ <description>
+ Possible results of a job action.
+ </description>
+ <dataType>
+ <string>
+ <validValues>
+ <value name="ok"/>
+ <value name="inputError"/>
+ <value name="executionError"/>
+ <value name="unknown"/>
+ </validValues>
+ <!--defaultValue>ok</defaultValue-->
+ </string>
+ </dataType>
+ </structMember>
+ <structMember name="jobInfo">
+ <dataType><string/></dataType>
+ </structMember>
+ <structMember name="jobProgress">
+ <dataType name.ref="IsTypes:Unsigned32"/>
+ </structMember>
+ <structMember name="jobLastStep">
+ <dataType name.ref="IsTypes:Unsigned32"/>
+ </structMember>
+ <structMember name="jobProgressReport">
+ <dataType><boolean/></dataType>
+ </structMember>
+ </struct>
+ </dataType><!-- JobData -->
+
+ <dataType name="FTPData">
+ <description>
+ Data to specify FTP server info, such as host, user,
+ password and path, to locate correct files or destination
+ for files.
+ </description>
+ <struct>
+ <structMember name="host">
+ <dataType><string/></dataType>
+ </structMember>
+ <structMember name="user">
+ <dataType><string/></dataType>
+ </structMember>
+ <structMember name="password">
+ <dataType><string/></dataType>
+ </structMember>
+ <structMember name="path">
+ <description>
+ Absolute path including filename.
+ </description>
+ <dataType><string/></dataType>
+ </structMember>
+ </struct>
+ </dataType><!-- FTPData -->
+
+ <dataType name="BladeUgSpecification">
+ <description>
+ Specification of a blade when an upgrade job is ordered for a
+ specific blade. This data always belongs to and is included in
+ an upgrade job for a blade system.
+ </description>
+ <struct>
+ <structMember name="bladeSubrack">
+ <dataType name.ref="IsTypes:Unsigned32"/>
+ </structMember>
+ <structMember name="bladeSlot">
+ <dataType name.ref="IsTypes:Unsigned32"/>
+ </structMember>
+ <structMember name="bladeSwgProdNr">
+ <dataType><string/></dataType>
+ </structMember>
+ <structMember name="bladeSwgVersion">
+ <dataType><string/></dataType>
+ </structMember>
+ </struct>
+ </dataType> <!-- BladeUgSpecification -->
+
+ <!--___ CLASSes for tables (no STRUCTs) ________________ -->
+
+ <!-- Inventory Classes -->
+
+ <class name="SoftwareDeliveryPackage">
+ <description>
+ Container of files of data, or binary executables, needed to run the system.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="prodNr">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="version"> <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="name">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="type">
+ <dataType name.ref="ContainerType">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <action name="delete">
+ <description>
+ Action to delete SoftwareDeliveryPackage.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class> <!-- SoftwareDeliveryPackage -->
+
+ <class name="SoftwareGroup">
+ <description>
+ Contains one or more SoftwareDeliveryPackage.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="prodNr">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="version">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="name">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="systemProdNr">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="systemVersion">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="systemName">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="integratedSystemProdNr">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="integratedSystemVersion">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="integratedSystemName">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="relatedCXPs">
+ <dataType>
+ <xs_list>
+ <dataType>
+ <moRef name="SoftwareDeliveryPackage"/>
+ </dataType>
+ </xs_list>
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="status">
+ <dataType name.ref="SwgStatus">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <action name="delete">
+ <description>
+ Action to delete Software Group.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class> <!-- SoftwareGroup -->
+
+ <class name="LocalBackup">
+ <description>
+ All available backups locally stored.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="backupId">
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <key/>
+ </attribute>
+ <attribute name="name">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="bladeSystem">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="date">
+ <dataType>
+ <dateTime/>
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="size">
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <action name="exportBackup">
+ <description>
+ Action to export backup file to FTP server from
+ local disk storage. An instance of the class
+ Software/Jobs/Backup/Export is created as a log of this
+ action.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="jobId">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="host">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="user">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="password">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="path">
+ <description>
+ Absolute path including filename.
+ </description>
+ <dataType><string/></dataType>
+ </parameter>
+ </action>
+ <action name="delete">
+ <description>
+ Action to delete backup.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ </action>
+ </class> <!-- LocalBackup -->
+
+ <class name="Alarm">
+ <description>
+ Information and cause of all currently active software configuration alarms.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="id">
+ <dataType name.ref="IsTypes:Unsigned32"/>
+ <key/>
+ </attribute>
+ <attribute name="bladeSystem">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="cause">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="info">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ </class> <!-- Alarm -->
+
+
+ <!-- Jobs Classes -->
+
+ <class name="DownloadFile">
+ <description>
+ This MO contains information about requested, ongoing,
+ and completed software file download jobs. To start a
+ download job the operator calls an action Inventory class,
+ and a new instance of this MO is created.
+ For load regulating reasons a requested job may not start
+ immediately.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="jobId">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="jobData">
+ <dataType name.ref="JobData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="ftpData">
+ <dataType name.ref="FTPData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="matchStrategy">
+ <dataType name.ref="SwmMatchStrategy">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="downloadStrategy">
+ <dataType name.ref="SwmDownloadStrategy">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ </class><!-- DownloadFile -->
+
+ <class name="Upgrade">
+ <description>
+ This MO handles upgrade jobs towards the system. The operator
+ may specify a specific blade to run the upgrade on, instead of
+ running an upgrade on the entire blade system.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="jobId">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="jobData">
+ <dataType name.ref="JobData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="bladeSystem">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="swgProdNr">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="swgVersion">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="cxrProdNr">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="cxrVersion">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="maxTime">
+ <description>
+ The maximum time allowed for the SW Upgrade
+ job to finish. After this time, the job will be interrupted.
+ The value 0 means that MaxTime will be given the
+ timer value registered with the Blade System Software Group
+ Version. If no such value has been registered,
+ MaxTime will be given a system default timer value.
+ </description>
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="bladeUgSpecification">
+ <dataType name.ref="BladeUgSpecification"/>
+ <readOnly/>
+ </attribute>
+ </class><!-- Upgrade -->
+
+
+
+ <!-- Backup Classes -->
+
+ <class name="Create">
+ <description>
+ This MO handles creation of backups of the system.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="jobId">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="jobData">
+ <dataType name.ref="JobData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="backupId">
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="targetBladeSystem">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="backupName">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ </class><!-- Create -->
+
+ <class name="Restore">
+ <description>
+ This MO handles restore of backups of the system.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="jobId">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="jobData">
+ <dataType name.ref="JobData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="backupId">
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="targetBladeSystem">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="backupName">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ </class><!-- Restore -->
+
+ <class name="Import">
+ <description>
+ This MO handles import of backup files from the FTP server.
+ The backup file is stored locally, but not loaded into the system.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="jobId">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="jobData">
+ <dataType name.ref="JobData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="ftpData">
+ <dataType name.ref="FTPData"/>
+ <readOnly/>
+ </attribute>
+ </class><!-- Import -->
+
+ <class name="Export">
+ <description>
+ This MO handles export of locally stored backup files to
+ the FTP server.
+ </description>
+ <systemCreated/>
+ <readOnly/>
+ <attribute name="jobId">
+ <dataType><string/></dataType>
+ <key/>
+ </attribute>
+ <attribute name="jobData">
+ <dataType name.ref="JobData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="ftpData">
+ <dataType name.ref="FTPData"/>
+ <readOnly/>
+ </attribute>
+ <attribute name="backupId">
+ <dataType name.ref="IsTypes:Unsigned32">
+ </dataType>
+ <readOnly/>
+ </attribute>
+ <attribute name="backupName">
+ <dataType><string/></dataType>
+ <readOnly/>
+ </attribute>
+ </class><!-- Export -->
+
+
+ <!--____ CLASSes for hierarchic relations __________________ -->
+
+ <class name="Software">
+ <description>
+ Describes the Software management service
+ in the Integrated Site services management functional area (MFA).
+ </description>
+ <singleton/>
+ </class> <!-- software -->
+
+ <class name="Inventory">
+ <description>
+ System created data for information and overview.
+ Some data is created as a result of an action called by the
+ operator.
+ </description>
+ <singleton/>
+ <action name="downloadFile">
+ <description>
+ Action to download file/s from FTP server to
+ local disk storage. An instance of the MO class
+ Software/Jobs/DownloadFile is created as a log of this
+ action.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="jobId">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="host">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="user">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="password">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="path">
+ <description>
+ Absolute path including filename.
+ </description>
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="matchStrategy">
+ <dataType name.ref="SwmMatchStrategy"></dataType>
+ </parameter>
+ <parameter name="downloadStrategy">
+ <dataType name.ref="SwmDownloadStrategy"></dataType>
+ </parameter>
+ </action>
+ <action name="importBackup">
+ <description>
+ Action to import backup file from FTP server to
+ to local disk storage. An instance of the MO class
+ Software/Jobs/Backup/Import is created as a log of this
+ action.
+ </description>
+ <returnType>
+ <void/>
+ </returnType>
+ <parameter name="jobId">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="host">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="user">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="password">
+ <dataType><string/></dataType>
+ </parameter>
+ <parameter name="path">
+ <description>
+ Absolute path including filename.
+ </description>
+ <dataType><string/></dataType>
+ </parameter>
+ </action>
+ </class> <!-- Inventory -->
+
+ <class name="Jobs">
+ <description>
+ Subclass to top class Software. Parent of
+ Jobs classes:
+ DownloadFile,
+ Upgrade,
+ Backup.
+ Contains system created data through ordered job actions.
+ </description>
+ <singleton/>
+ </class> <!-- Jobs -->
+
+ <class name="Backup">
+ <description>
+ Subclass to class Jobs. Parent of
+ Jobs classes:
+ Create,
+ Restore
+ Import,
+ Export.
+<!-- CreateAndExport,
+ ImportAndRestore.-->
+ Contains system created data through ordered backup job actions.
+ </description>
+ <singleton/>
+ </class> <!-- Backup -->
+
+
+ <!--____ RELATIONSHIPs ______________________________-->
+
+ <!-- Top Class Relations -->
+ <relationship name="SwmTop">
+ <containment>
+ <parent>
+ <hasClass name="top_mim:Is"/>
+ </parent>
+ <child>
+ <hasClass name="Software"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Software_to_Inventory">
+ <containment>
+ <parent>
+ <hasClass name="Software"/>
+ </parent>
+ <child>
+ <hasClass name="Inventory"/>
+ <cardinality>
+ <min>1</min>
+ <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Software_to_Jobs">
+ <containment>
+ <parent>
+ <hasClass name="Software"/>
+ </parent>
+ <child>
+ <hasClass name="Jobs"/>
+ <cardinality>
+ <min>1</min>
+ <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <!-- Inventory Class Relations -->
+ <relationship name="Inventory_to_SoftwareDeliveryPackage">
+ <containment>
+ <parent>
+ <hasClass name="Inventory"/>
+ </parent>
+ <child>
+ <hasClass name="SoftwareDeliveryPackage"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Inventory_to_SoftwareGroup">
+ <containment>
+ <parent>
+ <hasClass name="Inventory"/>
+ </parent>
+ <child>
+ <hasClass name="SoftwareGroup"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Inventory_to_LocalBackup">
+ <containment>
+ <parent>
+ <hasClass name="Inventory"/>
+ </parent>
+ <child>
+ <hasClass name="LocalBackup"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Inventory_to_Alarm">
+ <containment>
+ <parent>
+ <hasClass name="Inventory"/>
+ </parent>
+ <child>
+ <hasClass name="Alarm"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <!-- Jobs Class Relations -->
+ <relationship name="Jobs_to_DownloadFile">
+ <containment>
+ <parent>
+ <hasClass name="Jobs"/>
+ </parent>
+ <child>
+ <hasClass name="DownloadFile"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Jobs_to_Upgrade">
+ <containment>
+ <parent>
+ <hasClass name="Jobs"/>
+ </parent>
+ <child>
+ <hasClass name="Upgrade"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Jobs_to_Backup">
+ <containment>
+ <parent>
+ <hasClass name="Jobs"/>
+ </parent>
+ <child>
+ <hasClass name="Backup"/>
+ <cardinality>
+ <min>1</min>
+ <max>1</max>
+ </cardinality>
+ </child>
+ </containment>
+ </relationship>
+
+ <!-- Backup Class Relations -->
+ <relationship name="Backup_to_Create">
+ <containment>
+ <parent>
+ <hasClass name="Backup"/>
+ </parent>
+ <child>
+ <hasClass name="Create"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Backup_to_Restore">
+ <containment>
+ <parent>
+ <hasClass name="Backup"/>
+ </parent>
+ <child>
+ <hasClass name="Restore"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Backup_to_Import">
+ <containment>
+ <parent>
+ <hasClass name="Backup"/>
+ </parent>
+ <child>
+ <hasClass name="Import"/>
+ </child>
+ </containment>
+ </relationship>
+
+ <relationship name="Backup_to_Export">
+ <containment>
+ <parent>
+ <hasClass name="Backup"/>
+ </parent>
+ <child>
+ <hasClass name="Export"/>
+ </child>
+ </containment>
+ </relationship>
+ </mim>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/small.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/small.xml
new file mode 100644
index 0000000000..b0358f467d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/small.xml
@@ -0,0 +1,8 @@
+<?xml version="1.0"?>
+<purchaseOrder
+ xmlns="small"
+ xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
+ xsi:schemaLocation="small small.xsd"
+ orderDate="1999-10-20">
+This is the content of the element
+</purchaseOrder>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/small.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/small.xsd
new file mode 100644
index 0000000000..00d49db22d
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/small.xsd
@@ -0,0 +1,60 @@
+<xsd:schema
+ targetNamespace="small"
+ xmlns:sm="small"
+ xmlns:xsd="http://www.w3.org/2001/XMLSchema">
+
+ <xsd:annotation>
+ <xsd:documentation xml:lang="en">
+ Purchase order schema for Example.com.
+ Copyright 2000 Example.com. All rights reserved.
+ </xsd:documentation>
+ </xsd:annotation>
+
+ <xsd:element name="purchaseOrder" type="sm:PurchaseOrderType"/>
+
+ <xsd:element name="comment" type="xsd:string"/>
+
+ <xsd:complexType name="PurchaseOrderType" mixed="true">
+ <xsd:simpleContent>
+ <xsd:extension base="xsd:string">
+ <xsd:attribute name="orderDate" type="xsd:date"/>
+ <xsd:attribute name="orderStatus" type="xsd:string" default="new"/>
+ </xsd:extension>
+ </xsd:simpleContent>
+ </xsd:complexType>
+
+ <complexType name="own1">
+ <complexContent>
+ <restriction base="xsd:anyType">
+ <attribute name="number" type="xsd:integer"/>
+ <attribute name="quantity" type="xsd:positiveInteger"/>
+ </restriction>
+ </complexContent>
+ </complexType>
+
+ <complexType name="PartsType">
+ <sequence>
+ <element name="part" maxOccurs="unbounded">
+ <complexType>
+ <simpleContent>
+ <extension base="xsd:string">
+ <attribute name="number" type="xsd:integer"/>
+ </extension>
+ </simpleContent>
+ </complexType>
+ </element>
+ </sequence>
+ </complexType>
+
+<simpleType name="listOfMyIntType">
+ <list itemType="xsd:integer"/>
+</simpleType>
+
+<simpleType name="SixUSStates">
+ <restriction base="sm:listOfMyIntType">
+ <length value="6"/>
+ </restriction>
+</simpleType>
+
+</xsd:schema>
+
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_7288.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_7288.xml
new file mode 100644
index 0000000000..9108e96bed
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_7288.xml
@@ -0,0 +1,56 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+ <IMSSubscription>
+ <PrivateID>[email protected]</PrivateID>
+ <ServiceProfile>
+ <PublicIdentity>
+ <BarringIndication>1</BarringIndication>
+ <Identity>sip:[email protected]</Identity>
+ </PublicIdentity>
+ <PublicIdentity>
+ <Identity>sip:[email protected]</Identity>
+ </PublicIdentity>
+ <InitialFilterCriteria>
+ <Priority>0</Priority>
+ <TriggerPoint>
+ <ConditionTypeCNF>1</ConditionTypeCNF>
+ <SPT>
+ <ConditionNegated>0</ConditionNegated>
+ <Group>0</Group>
+ <Method>INVITE</Method>
+ </SPT>
+ <SPT>
+ <ConditionNegated>0</ConditionNegated>
+ <Group>0</Group>
+ <Method>MESSAGE</Method>
+ </SPT>
+ <SPT>
+ <ConditionNegated>0</ConditionNegated>
+ <Group>0</Group>
+ <Method>SUBSCRIBE</Method>
+ </SPT>
+ <SPT>
+ <ConditionNegated>0</ConditionNegated>
+ <Group>1</Group>
+ <Method>INVITE</Method>
+ </SPT>
+ <SPT>
+ <ConditionNegated>0</ConditionNegated>
+ <Group>1</Group>
+ <Method>MESSAGE</Method>
+ </SPT>
+
+ <SPT>
+ <ConditionNegated>1</ConditionNegated>
+ <Group>1</Group>
+ <SIPHeader>
+ <Header>From</Header>
+ <Content>"joe"</Content>
+ </SIPHeader>
+ </SPT>
+ </TriggerPoint>
+ <ApplicationServer>
+ <ServerName>sip:[email protected]</ServerName>
+ </ApplicationServer>
+ </InitialFilterCriteria>
+ </ServiceProfile>
+ </IMSSubscription>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xml
new file mode 100644
index 0000000000..1eacfc756e
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xml
@@ -0,0 +1,6 @@
+<?xml version="1.0"?>
+
+<persons>
+<person>lars thorsen</person>
+<person></person>
+</persons>
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xsd
new file mode 100644
index 0000000000..977f043bb7
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/ticket_8599.xsd
@@ -0,0 +1,17 @@
+<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema">
+
+ <xs:element name="persons" type="p"/>
+
+ <xs:complexType name="p">
+ <xs:sequence>
+ <xs:element name="person" type="string" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+
+
+ <xs:simpleType name="string">
+ <xs:restriction base="xs:string">
+ </xs:restriction>
+ </xs:simpleType>
+
+</xs:schema> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xml
new file mode 100644
index 0000000000..61aacbc8d0
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xml
@@ -0,0 +1,117 @@
+<?xml version="1.0"?>
+<root>
+ <state>
+ <code>AL</code>
+ <vehicle plateNumber="1123" state="AL"/>
+ <vehicle plateNumber="1125" state="AK"/>
+ <vehicle plateNumber="1124" state="AL"/>
+ <vehicle plateNumber="1223" state="AL"/>
+ <vehicle plateNumber="1323" state="AL"/>
+ <vehicle plateNumber="1225" state="AS"/>
+ <vehicle plateNumber="1126" state="AZ"/>
+ <vehicle plateNumber="1127" state="AR"/>
+ <vehicle plateNumber="1128" state="FL"/>
+ <vehicle plateNumber="2341" state="FL"/>
+ <vehicle plateNumber="1129" state="FL"/>
+ <vehicle plateNumber="1133" state="FL"/>
+ <vehicle plateNumber="22123" state="MO"/>
+ <vehicle plateNumber="22124" state="MI"/>
+ <person>
+ <car regState="AL" regPlate="1123"/>
+ </person>
+ <person>
+ <car regState="AK" regPlate="1125"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1124"/>
+ <car regState="AL" regPlate="1223"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1323"/>
+ </person>
+ <person>
+ <car regState="AS" regPlate="1225"/>
+ </person>
+ <person>
+ <car regState="AZ" regPlate="1126"/>
+ </person>
+ <person>
+ <car regState="AR" regPlate="1127"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="2341"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1128"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1133"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1129"/>
+ </person>
+ <person>
+ <car regState="MO" regPlate="22123"/>
+ </person>
+ <person>
+ <car regState="MI" regPlate="22124"/>
+ </person>
+ </state>
+ <state>
+ <code>CO</code>
+ <vehicle plateNumber="1123" state="AL"/>
+ <vehicle plateNumber="1120" state="AK"/>
+ <vehicle plateNumber="1124" state="AL"/>
+ <vehicle plateNumber="1221" state="AL"/>
+ <vehicle plateNumber="1323" state="AL"/>
+ <vehicle plateNumber="1223" state="AS"/>
+ <vehicle plateNumber="1126" state="AZ"/>
+ <vehicle plateNumber="1127" state="AR"/>
+ <vehicle plateNumber="1128" state="FL"/>
+ <vehicle plateNumber="2341" state="FL"/>
+ <vehicle plateNumber="1125" state="FL"/>
+ <vehicle plateNumber="1133" state="FL"/>
+ <vehicle plateNumber="22123" state="MO"/>
+ <vehicle plateNumber="22124" state="MI"/>
+ <person>
+ <car regState="AL" regPlate="1123"/>
+ </person>
+ <person>
+ <car regState="AK" regPlate="1120"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1124"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1221"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1323"/>
+ </person>
+ <person>
+ <car regState="AS" regPlate="1223"/>
+ </person>
+ <person>
+ <car regState="AZ" regPlate="1126"/>
+ </person>
+ <person>
+ <car regState="AR" regPlate="1127"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="2341"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1128"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1133"/>
+ <car regState="FL" regPlate="1125"/>
+ </person>
+ <person>
+ <car regState="MO" regPlate="22123"/>
+ </person>
+ <person>
+ <car regState="MI" regPlate="22124"/>
+ </person>
+ </state>
+</root> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xsd b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xsd
new file mode 100644
index 0000000000..2e7c053497
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle.xsd
@@ -0,0 +1,82 @@
+<xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema">
+
+ <xs:element name="vehicle">
+ <xs:complexType>
+ <xs:attribute name="plateNumber" type="xs:integer"/>
+ <xs:attribute name="state" type="twoLetterCode"/>
+ </xs:complexType>
+ </xs:element>
+
+ <xs:element name="state">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="code" type="twoLetterCode"/>
+ <xs:element ref="vehicle" maxOccurs="unbounded"/>
+ <xs:element ref="person" maxOccurs="unbounded"/>
+ </xs:sequence>
+ </xs:complexType>
+
+ <xs:key name="reg"> <!-- vehicles are keyed by their plate within states -->
+ <xs:selector xpath=".//vehicle"/>
+ <xs:field xpath="@plateNumber"/>
+ </xs:key>
+ </xs:element>
+
+ <xs:element name="root">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element ref="state" maxOccurs="51"/>
+ </xs:sequence>
+ </xs:complexType>
+
+ <xs:key name="state"> <!-- states are keyed by their code -->
+ <xs:selector xpath=".//state"/>
+ <xs:field xpath="code"/>
+ </xs:key>
+
+ <xs:keyref name="vehicleState" refer="state">
+ <!-- every vehicle refers to its state -->
+ <xs:selector xpath=".//vehicle"/>
+ <xs:field xpath="@state"/>
+ </xs:keyref>
+
+ <xs:key name="regKey"> <!-- vehicles are keyed by a pair of state and plate -->
+ <xs:selector xpath=".//vehicle"/>
+ <xs:field xpath="@state"/>
+ <xs:field xpath="@plateNumber"/>
+ </xs:key>
+
+ <xs:keyref name="carRef" refer="regKey"> <!-- people's cars are a reference -->
+ <xs:selector xpath=".//car"/>
+ <xs:field xpath="@regState"/>
+ <xs:field xpath="@regPlate"/>
+ </xs:keyref>
+
+ </xs:element>
+
+ <xs:element name="person">
+ <xs:complexType>
+ <xs:sequence>
+ <xs:element name="car" maxOccurs="2">
+ <xs:complexType>
+ <xs:attribute name="regState" type="twoLetterCode"/>
+ <xs:attribute name="regPlate" type="xs:integer"/>
+ </xs:complexType>
+ </xs:element>
+ </xs:sequence>
+ </xs:complexType>
+ </xs:element>
+
+ <xs:simpleType name="twoLetterCode">
+ <xs:restriction base="xs:string">
+ <xs:maxLength value="2"/>
+ </xs:restriction>
+ </xs:simpleType>
+
+ <xs:simpleType name="threeLetterCode">
+ <xs:restriction base="xs:string">
+ <xs:maxLength value="3"/>
+ </xs:restriction>
+ </xs:simpleType>
+
+</xs:schema> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle2.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle2.xml
new file mode 100644
index 0000000000..6b60c83e6a
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle2.xml
@@ -0,0 +1,61 @@
+<?xml version="1.0"?>
+<root>
+ <state>
+ <code>AL</code>
+ <vehicle plateNumber="1123" state="AL"/>
+ <vehicle plateNumber="1121" state="AK"/>
+ <vehicle plateNumber="1124" state="AL"/>
+ <vehicle plateNumber="1221" state="AL"/>
+ <vehicle plateNumber="1122" state="AL"/>
+ <vehicle plateNumber="1225" state="AS"/>
+ <vehicle plateNumber="1126" state="AZ"/>
+ <vehicle plateNumber="1127" state="AR"/>
+ <vehicle plateNumber="1128" state="FL"/>
+ <vehicle plateNumber="2341" state="FL"/>
+ <vehicle plateNumber="1125" state="FL"/>
+ <vehicle plateNumber="1133" state="FL"/>
+ <vehicle plateNumber="22123" state="MO"/>
+ <vehicle plateNumber="22124" state="MI"/>
+ <person>
+ <car regState="AL" regPlate="1122"/>
+ </person>
+ <person>
+ <car regState="AK" regPlate="1121"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1124"/>
+ <car regState="AL" regPlate="1221"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1123"/>
+ </person>
+ <person>
+ <car regState="AS" regPlate="1225"/>
+ </person>
+ <person>
+ <car regState="AZ" regPlate="1126"/>
+ </person>
+ <person>
+ <car regState="AR" regPlate="1127"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="2341"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1128"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1133"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1125"/>
+ </person>
+ <person>
+ <car regState="MO" regPlate="22123"/>
+ </person>
+ <person>
+ <car regState="MI" regPlate="22124"/>
+ </person>
+ </state>
+
+</root> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle3.xml b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle3.xml
new file mode 100644
index 0000000000..37536c7335
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_SUITE_data/vehicle3.xml
@@ -0,0 +1,117 @@
+<?xml version="1.0"?>
+<root>
+ <state>
+ <code>AL</code>
+ <vehicle plateNumber="1123" state="AL"/>
+ <vehicle plateNumber="1125" state="AK"/>
+ <vehicle plateNumber="1124" state="AL"/>
+ <vehicle plateNumber="1223" state="AL"/>
+ <vehicle plateNumber="1323" state="AL"/>
+ <vehicle plateNumber="1225" state="AS"/>
+ <vehicle plateNumber="1126" state="AZ"/>
+ <vehicle plateNumber="1127" state="AR"/>
+ <vehicle plateNumber="1128" state="FL"/>
+ <vehicle plateNumber="2341" state="FL"/>
+ <vehicle plateNumber="1129" state="FL"/>
+ <vehicle plateNumber="1133" state="FL"/>
+ <vehicle plateNumber="22123" state="MO"/>
+ <vehicle plateNumber="22124" state="MI"/>
+ <person>
+ <car regState="AL" regPlate="1123"/>
+ </person>
+ <person>
+ <car regState="AK" regPlate="1125"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1124"/>
+ <car regState="AL" regPlate="1223"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1323"/>
+ </person>
+ <person>
+ <car regState="AS" regPlate="1225"/>
+ </person>
+ <person>
+ <car regState="AZ" regPlate="1126"/>
+ </person>
+ <person>
+ <car regState="AR" regPlate="1127"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="2341"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1128"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1133"/>
+ </person>
+ <person>
+ <car regState="FL" regPlate="1129"/>
+ </person>
+ <person>
+ <car regState="MO" regPlate="22123"/>
+ </person>
+ <person>
+ <car regState="MI" regPlate="22124"/>
+ </person>
+ </state>
+ <state>
+ <code>CO</code>
+ <vehicle plateNumber="1123" state="CO"/>
+ <vehicle plateNumber="1120" state="AK"/>
+ <vehicle plateNumber="1124" state="CO"/>
+ <vehicle plateNumber="1221" state="AL"/>
+ <vehicle plateNumber="1323" state="CO"/>
+ <vehicle plateNumber="1223" state="AS"/>
+ <vehicle plateNumber="1126" state="CO"/>
+ <vehicle plateNumber="1127" state="CA"/>
+ <vehicle plateNumber="1128" state="DE"/>
+ <vehicle plateNumber="2341" state="DC"/>
+ <vehicle plateNumber="1125" state="FL"/>
+ <vehicle plateNumber="1133" state="GA"/>
+ <vehicle plateNumber="22123" state="GU"/>
+ <vehicle plateNumber="22124" state="HI"/>
+ <person>
+ <car regState="CO" regPlate="1123"/>
+ </person>
+ <person>
+ <car regState="AK" regPlate="1120"/>
+ </person>
+ <person>
+ <car regState="CO" regPlate="1124"/>
+ </person>
+ <person>
+ <car regState="AL" regPlate="1221"/>
+ </person>
+ <person>
+ <car regState="CO" regPlate="1323"/>
+ </person>
+ <person>
+ <car regState="AS" regPlate="1223"/>
+ </person>
+ <person>
+ <car regState="CO" regPlate="1126"/>
+ </person>
+ <person>
+ <car regState="CA" regPlate="1127"/>
+ </person>
+ <person>
+ <car regState="DC" regPlate="2341"/>
+ </person>
+ <person>
+ <car regState="DE" regPlate="1128"/>
+ </person>
+ <person>
+ <car regState="GA" regPlate="1133"/>
+ <car regState="FL" regPlate="1125"/>
+ </person>
+ <person>
+ <car regState="GU" regPlate="22123"/>
+ </person>
+ <person>
+ <car regState="HI" regPlate="22124"/>
+ </person>
+ </state>
+</root> \ No newline at end of file
diff --git a/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE.erl b/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE.erl
new file mode 100644
index 0000000000..e619390f65
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE.erl
@@ -0,0 +1,812 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2006-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%% Purpose : Test suite for the xmerl application
+
+%% Do NOT edit this file. It is generated by the generate_xsd_suite module
+%% For more info read the comments in the header of that file.
+
+-module('xmerl_xsd_Sun2002-01-16_SUITE').
+
+-compile(export_all).
+
+-include_lib("test_server/include/test_server.hrl").
+-include_lib("xmerl/include/xmerl.hrl").
+-include_lib("xmerl/include/xmerl_xsd.hrl").
+
+
+all() ->
+ ['Sun-idc001.nogen', 'Sun-idc002.e', 'Sun-idc002b.e',
+ 'Sun-idc003.e', 'Sun-idc004.nogen', 'Sun-idc004a.e',
+ 'Sun-idc005.nogen', 'Sun-idc006.nogen', 'Sun-xsd001',
+ 'Sun-xsd002', 'Sun-xsd003-1.e', 'Sun-xsd003-2.e',
+ 'Sun-xsd003a', 'Sun-xsd003b', 'Sun-xsd004',
+ 'Sun-xsd005', 'Sun-xsd006', 'Sun-xsd008', 'Sun-xsd011',
+ 'Sun-xsd012', 'Sun-xsd013.e', 'Sun-xsd014.e',
+ 'Sun-xsd015.e', 'Sun-xsd016.e', 'Sun-xsd017.e',
+ 'Sun-xsd018.e', 'Sun-xsd019.e', 'Sun-xsd020.e',
+ 'Sun-xsd020-2.e', 'Sun-xsd020-3.e', 'Sun-xsd020-4.e',
+ 'Sun-xsd021', 'Sun-xsd022', 'Sun-xsd023.e',
+ 'Sun-xsiType1', 'Sun-xsiType-block-1',
+ 'Sun-xsiType-block-2', 'Sun-xsiType-block-3',
+ 'Sun-xsiType-block-4', 'Sun-type-and-subst-1'].
+
+groups() ->
+ [].
+
+init_per_group(_GroupName, Config) ->
+ Config.
+
+end_per_group(_GroupName, Config) ->
+ Config.
+
+
+
+%% initialization before the test suite
+init_per_suite(Config) ->
+ Dog=test_server:timetrap({minutes,10}),
+ xmerl_xsd_lib:unpack(Config,sun),
+ {ok,LogFile} = xmerl_xsd_lib:create_error_log_file(Config,sun),
+ test_server:timetrap_cancel(Dog),
+ [{suite,sun},{xmerl_error_log,LogFile}|Config].
+
+end_per_suite(Config) ->
+ xmerl_xsd_lib:rmdir(Config,sun),
+ xmerl_xsd_lib:close_error_log_file(Config),
+ ok.
+
+%% initialization before each testcase
+init_per_testcase(TestCase,Config) ->
+ Dog=test_server:timetrap({minutes,3}),
+ [{testcase,TestCase},{watchdog, Dog}|Config].
+
+%% clean up after each testcase
+end_per_testcase(_Func,Config) ->
+ Dog=?config(watchdog, Config),
+ test_server:timetrap_cancel(Dog),
+ ok.
+
+%% ID Constranints. Very naive test of identity constraint
+'Sun-idc001.nogen'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc001.nogen.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc001.nogen.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc001.nogen.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc001.nogen.v01.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList3).
+
+%% ID Constranints. Reference to an undeclared key
+'Sun-idc002.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc002.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% ID Constranints. Reference to an undeclared key in an undeclared namespace
+'Sun-idc002b.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc002b.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% ID Constranints. Reference to non-key constraint.
+'Sun-idc003.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc003.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% ID Constranints.
+'Sun-idc004.nogen'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc004.nogen.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc004.nogen.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc004.nogen.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc004.nogen.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc004.nogen.n03.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc004.nogen.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList5).
+
+%% ID Constraints. Key/keyref field size mismatch.
+'Sun-idc004a.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc004a.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% ID Constraints. Very naive test of identity constraint.
+'Sun-idc005.nogen'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc005.nogen.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc005.nogen.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc005.nogen.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc005.nogen.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList3).
+
+%% ID Constraints. XPath engine test: ".//a/*/b" and use of "." for both selector and field.
+'Sun-idc006.nogen'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/idc006.nogen.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc006.nogen.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc006.nogen.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/idc006.nogen.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList3).
+
+%% - use of elementFormDefault="unqualified" - unusual minOccurs/maxOccurs (3 and 7 respectively) - complexType with simpleContent, and restriction. - simpleType within restriction.
+'Sun-xsd001'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd001.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n03.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n04.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n05.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n06.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.n07.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.v01.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.v02.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd001.v03.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList12).
+
+%% - use of elementFormDefault="unqualified" - use of elementFormDefault and form attribute. - implicit use of "ur-type" as the content model of element.
+'Sun-xsd002'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd002.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd002.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd002.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd002.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd002.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd002.v01.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList5).
+
+%% Element redefinition. Unable to redefine element.
+'Sun-xsd003-1.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd003-1.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Element redefinition. Unable to redefine element.
+'Sun-xsd003-2.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd003-2.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Element redefinition. Test without redefinition.
+'Sun-xsd003a'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd003a.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd003a.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList1).
+
+%% Element redefinition. Test with redefinition with self-reference.
+'Sun-xsd003b'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd003b.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd003b.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd003b.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd003b.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd003b.v01.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList4).
+
+%% Use of three different type of any element with different @namespace.
+'Sun-xsd004'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd004.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n03.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n04.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n05.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n06.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n07.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n08.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n09.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n10.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n11.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.n12.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd004.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList14 = [ITRes13|ITResList13],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList14).
+
+%% Complex type derivation.
+'Sun-xsd005'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd005.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.n03.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.n04.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.n05.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.n06.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd005.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList8 = [ITRes7|ITResList7],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList8).
+
+%% minOccurs/maxOccurs. Various combinations.
+'Sun-xsd006'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd006.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n03.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n04.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n05.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n06.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n07.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n08.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n09.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.n10.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd006.v00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList12 = [ITRes11|ITResList11],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList12).
+
+%% Abstract element and element substitution group.
+'Sun-xsd008'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd008.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd008.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd008.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd008.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd008.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList4).
+
+%% Nillable.
+'Sun-xsd011'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd011.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd011.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd011.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd011.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd011.n03.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd011.n04.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd011.v00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList6 = [ITRes5|ITResList5],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList6).
+
+%% Mixed content model.
+'Sun-xsd012'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd012.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd012.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd012.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList2).
+
+%% Reference to undeclared element.
+'Sun-xsd013.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd013.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared element.
+'Sun-xsd014.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd014.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared type (it may be simple or complex).
+'Sun-xsd015.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd015.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared type (it may be simple or complex).
+'Sun-xsd016.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd016.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared complex type.
+'Sun-xsd017.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd017.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared complex type.
+'Sun-xsd018.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd018.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared simple type.
+'Sun-xsd019.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd019.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared simple type.
+'Sun-xsd020.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd020.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared attribute.
+'Sun-xsd020-2.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd020-2.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared model group.
+'Sun-xsd020-3.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd020-3.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Reference to undeclared attribute group.
+'Sun-xsd020-4.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd020-4.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% anyOtherAttribute.
+'Sun-xsd021'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd021.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n01.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n02.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n03.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n04.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n05.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n06.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n07.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n08.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n09.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n10.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.n11.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd021.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList13 = [ITRes12|ITResList12],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList13).
+
+%% Simple type. Various forms of forward reference to the simple type.
+'Sun-xsd022'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd022.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd022.n00.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsd022.v00.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList2).
+
+%% Simple type. Recursive definition.
+'Sun-xsd023.e'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,_} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsd023.e.xsd','./suntest/SunTestsAll',invalid),
+ STResList1 = [STRes0|STResList0],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,[]).
+
+%% Simple type.
+'Sun-xsiType1'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsiType1.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType1.v1.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType1.n1.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList2).
+
+%% Test block attribute (1) - use block="extension" at the B type. This should exclude all types that uses extension.
+'Sun-xsiType-block-1'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsiType-block-1.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-1.v1.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-1.n1.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-1.n2.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-1.n3.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-1.n4.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList5).
+
+%% Test block attribute (2) - use block="extension" as the blockDefault attribute. This should achieve the same result as the previous test case.
+'Sun-xsiType-block-2'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsiType-block-2.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-2.v1.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-2.n1.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-2.n2.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-2.n3.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-2.n4.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList5).
+
+%% Test block attribute (3) - use block="extension" for the child2 element. child2 has no block constraint. - see if @block for element is working as expected.
+'Sun-xsiType-block-3'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsiType-block-3.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-3.v1.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-3.n1.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-3.n2.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-3.n3.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-3.n4.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList5).
+
+%% Test block attribute (4) - use block="extension" for the child2 element. child2 has no block constraint. - use block="restriction" for the Dr type. - see if @block for element and @block for types interacts as expected.
+'Sun-xsiType-block-4'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/xsiType-block-4.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-4.v1.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-4.n1.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-4.n2.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-4.n3.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/xsiType-block-4.n4.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList5).
+
+%% No block attribute is specified. Just test that the substitution group and the type hierarchy is OK. The substitution group hierarchy mirrors the complex type hierarchy.
+'Sun-type-and-subst-1'(Config) when is_list(Config) ->
+ STResList0 = [],
+
+ ?line {STRes0,S0} = xmerl_xsd_lib:schema_test(Config,'./suntest/SunTestsAll/type-and-subst-1.xsd','./suntest/SunTestsAll',valid),
+ STResList1 = [STRes0|STResList0],
+ ITResList0 = [],
+ ?line ITRes0 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.v1.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList1 = [ITRes0|ITResList0],
+ ?line ITRes1 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.v2.xml','./suntest/SunTestsAll',valid,S0),
+ ITResList2 = [ITRes1|ITResList1],
+ ?line ITRes2 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n1.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList3 = [ITRes2|ITResList2],
+ ?line ITRes3 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n2.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList4 = [ITRes3|ITResList3],
+ ?line ITRes4 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n3.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList5 = [ITRes4|ITResList4],
+ ?line ITRes5 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n4.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList6 = [ITRes5|ITResList5],
+ ?line ITRes6 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n5.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList7 = [ITRes6|ITResList6],
+ ?line ITRes7 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n6.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList8 = [ITRes7|ITResList7],
+ ?line ITRes8 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n7.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList9 = [ITRes8|ITResList8],
+ ?line ITRes9 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n8.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList10 = [ITRes9|ITResList9],
+ ?line ITRes10 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n9.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList11 = [ITRes10|ITResList10],
+ ?line ITRes11 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n10.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList12 = [ITRes11|ITResList11],
+ ?line ITRes12 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n11.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList13 = [ITRes12|ITResList12],
+ ?line ITRes13 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n12.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList14 = [ITRes13|ITResList13],
+ ?line ITRes14 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n13.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList15 = [ITRes14|ITResList14],
+ ?line ITRes15 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n14.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList16 = [ITRes15|ITResList15],
+ ?line ITRes16 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n15.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList17 = [ITRes16|ITResList16],
+ ?line ITRes17 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n16.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList18 = [ITRes17|ITResList17],
+ ?line ITRes18 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n17.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList19 = [ITRes18|ITResList18],
+ ?line ITRes19 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n18.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList20 = [ITRes19|ITResList19],
+ ?line ITRes20 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n19.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList21 = [ITRes20|ITResList20],
+ ?line ITRes21 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n20.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList22 = [ITRes21|ITResList21],
+ ?line ITRes22 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n21.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList23 = [ITRes22|ITResList22],
+ ?line ITRes23 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n22.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList24 = [ITRes23|ITResList23],
+ ?line ITRes24 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n23.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList25 = [ITRes24|ITResList24],
+ ?line ITRes25 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n24.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList26 = [ITRes25|ITResList25],
+ ?line ITRes26 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n25.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList27 = [ITRes26|ITResList26],
+ ?line ITRes27 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n26.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList28 = [ITRes27|ITResList27],
+ ?line ITRes28 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n27.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList29 = [ITRes28|ITResList28],
+ ?line ITRes29 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n28.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList30 = [ITRes29|ITResList29],
+ ?line ITRes30 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n29.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList31 = [ITRes30|ITResList30],
+ ?line ITRes31 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n30.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList32 = [ITRes31|ITResList31],
+ ?line ITRes32 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n31.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList33 = [ITRes32|ITResList32],
+ ?line ITRes33 = xmerl_xsd_lib:instance_test(Config,'./suntest/SunTestsAll/type-and-subst-1.n32.xml','./suntest/SunTestsAll',invalid,S0),
+ ITResList34 = [ITRes33|ITResList33],
+
+
+ xmerl_xsd_lib:compare_test_results(Config,STResList1,ITResList34).
+
diff --git a/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/sun_failed_cases.log b/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/sun_failed_cases.log
new file mode 100644
index 0000000000..82f410b455
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/sun_failed_cases.log
@@ -0,0 +1,27 @@
+{'Sun-idc001.nogen',{["idc001.nogen.v01.xml","idc001.nogen.v00.xml"],[]}}.
+{'Sun-idc004.nogen',{["idc004.nogen.v00.xml"],[]}}.
+{'Sun-idc005.nogen',{["idc005.nogen.v00.xml"],[]}}.
+{'Sun-idc006.nogen',{["idc006.nogen.v00.xml","idc006.nogen.n00.xml"],[]}}.
+{'Sun-xsd001',{["xsd001.v03.xml",
+ "xsd001.v02.xml",
+ "xsd001.v01.xml",
+ "xsd001.v00.xml"],[]}}.
+{'Sun-xsd002',{["xsd002.v01.xml","xsd002.v00.xml"],[]}}.
+{'Sun-xsd003a',{["xsd003a.v00.xml"],[]}}.
+{'Sun-xsd003b',{["xsd003b.v01.xml","xsd003b.v00.xml"],[]}}.
+{'Sun-xsd004',{["xsd004.v00.xml","xsd004.n00.xml"],[]}}.
+{'Sun-xsd005',{["xsd005.v00.xml"],[]}}.
+{'Sun-xsd008',{["xsd008.v00.xml"],[]}}.
+{'Sun-xsd012',{["xsd012.v00.xml"],[]}}.
+{'Sun-xsd021',{["xsd021.v00.xml",
+ "xsd021.n03.xml",
+ "xsd021.n02.xml",
+ "xsd021.n01.xml",
+ "xsd021.n00.xml"],[]}}.
+{'Sun-xsd022',{["xsd022.v00.xml"],[]}}.
+{'Sun-xsiType1',{["xsiType1.v1.xml"],[]}}.
+{'Sun-xsiType-block-1',{["xsiType-block-1.v1.xml"],[]}}.
+{'Sun-xsiType-block-2',{["xsiType-block-2.v1.xml"],[]}}.
+{'Sun-xsiType-block-3',{["xsiType-block-3.v1.xml"],[]}}.
+{'Sun-xsiType-block-4',{["xsiType-block-4.v1.xml"],[]}}.
+{'Sun-type-and-subst-1',{["type-and-subst-1.v2.xml"],[]}}.
diff --git a/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/suntest.tar.gz b/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/suntest.tar.gz
new file mode 100644
index 0000000000..bb0de7848b
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_Sun2002-01-16_SUITE_data/suntest.tar.gz
Binary files differ
diff --git a/lib/xmerl/test/xmerl_xsd_lib.erl b/lib/xmerl/test/xmerl_xsd_lib.erl
new file mode 100644
index 0000000000..074e6dcd49
--- /dev/null
+++ b/lib/xmerl/test/xmerl_xsd_lib.erl
@@ -0,0 +1,314 @@
+%%
+%% %CopyrightBegin%
+%%
+%% Copyright Ericsson AB 2006-2010. All Rights Reserved.
+%%
+%% The contents of this file are subject to the Erlang Public License,
+%% Version 1.1, (the "License"); you may not use this file except in
+%% compliance with the License. You should have received a copy of the
+%% Erlang Public License along with this software. If not, it can be
+%% retrieved online at http://www.erlang.org/.
+%%
+%% Software distributed under the License is distributed on an "AS IS"
+%% basis, WITHOUT WARRANTY OF ANY KIND, either express or implied. See
+%% the License for the specific language governing rights and limitations
+%% under the License.
+%%
+%% %CopyrightEnd%
+%%
+%%
+%%% Purpose : Sub routines for test suite for the xmerl application,
+%%% xmerl_xsd module.
+%%%-------------------------------------------------------------------
+%%% @private
+%%% File : xmerl_xsd_lib.erl
+%%% Author : Bertil Karlsson <bertil@finrod>
+%%% Description :
+%%%
+%%% Created : 28 Apr 2006 by Bertil Karlsson <bertil@finrod>
+%%%-------------------------------------------------------------------
+-module(xmerl_xsd_lib).
+
+-compile(export_all).
+
+-include("test_server.hrl").
+-include("xmerl.hrl").
+-include("xmerl_xsd.hrl").
+-include_lib("kernel/include/file.hrl").
+
+
+compare_test_results(Config,ST,IT) ->
+ ResST=compare_schema_test_results(ST),
+ ResIT=compare_instance_test_results(IT),
+ io:format("compare_test_results:~n ST = ~p~n IT = ~p~n ResST = ~p~n ResIT = ~p~n",[ST,IT,ResST,ResIT]),
+ case process_reference_results(Config,ResST,ResIT) of
+ error ->
+ error;
+ Diff ->
+ return_results(Diff,ResST,ResIT,length(ST)+length(IT))
+ end.
+
+compare_schema_test_results(ST) ->
+ {[N||{N,false}<-ST],[N||{N,enoent}<-ST]}.
+compare_instance_test_results(IT) ->
+ {[N||{N,false}<-IT],[N||{N,enoent}<-IT]}.
+
+return_results(Diff,{STErrs,_},{ITErrs,_},TotN) ->
+ NumErrs = length(STErrs++ITErrs),
+ case NumErrs == TotN of
+ true when TotN > 0 ->
+ ?line exit(all_tests_cases_failed);
+ _ ->
+ return_results2(Diff,TotN - NumErrs,TotN)
+ end.
+
+%% return_results2(Diff,{[],[]},{[],[]},TotN) ->
+%% {comment,io_lib:format("~p successful test cases.~n"++Diff,[TotN])};
+%% return_results2(Diff,{STErrs,[]},{ITErrs,[]},TotN) ->
+%% {comment,io_lib:format("Total number of test cases: ~p~nThe following ~p test cases failed: ~p~n"++Diff,[TotN,length(STErrs++ITErrs),STErrs++ITErrs])};
+%% return_results2(Diff,{STErrs,STOther},{ITErrs,ITOther},TotN) ->
+%% {comment,io_lib:format("Total number of test cases: ~p~nThe following ~p test cases failed: ~p~nThe following ~p test cases was malicious ~p~~n"++Diff,[TotN,length(STErrs++ITErrs),STErrs++ITErrs,length(STOther++ITOther),STOther++ITOther])}.
+
+return_results2(_,0,0) ->
+ {comment,io_lib:format("This test case was empty.~n",[])};
+return_results2({[],[],[],[]},NumSucc,TotN) ->
+ {comment,io_lib:format("~p successful tests of totally ~p test cases.~n",
+ [NumSucc,TotN])};
+return_results2({NewFail,NewSuccess,NewMal,NewNotMal},NumSucc,TotN) ->
+ NFComm =
+ case NewFail of
+ [] -> "";
+ _ -> io_lib:format("These ~p tests are new failures: ~p~n",
+ [length(NewFail),NewFail])
+ end,
+ NSComm =
+ case NewSuccess of
+ [] -> "";
+ _ -> io_lib:format("These ~p tests are new succeeding cases: ~p~n",
+ [length(NewSuccess),NewSuccess])
+ end,
+ NMComm =
+ case NewMal of
+ [] -> "";
+ _ -> io_lib:format("These ~p tests are now malicious: ~p~n",
+ [length(NewMal),NewMal])
+ end,
+ NNMComm =
+ case NewNotMal of
+ [] -> "";
+ _ -> io_lib:format("These ~p tests were malicious, but succeeds now: ~p~n",[length(NewNotMal),NewNotMal])
+ end,
+ {comment,io_lib:format("~p successful tests of totally ~p test cases. ~n"++
+ NFComm++NSComm++NMComm++NNMComm,[NumSucc,TotN])}.
+%% return_results2(Diff,{STErrs,STOther},{ITErrs,ITOther},TotN) ->
+%% {comment,io_lib:format("Total number of test cases: ~p~nThe following ~p test cases failed: ~p~nThe following ~p test cases was malicious ~p~~n",[TotN,length(STErrs++ITErrs),STErrs++ITErrs,length(STOther++ITOther),STOther++ITOther])}.
+
+
+process_reference_results(Config,{ErrsST,MalST},{ErrsIT,MalIT}) ->
+ {RefFailed,RefMalicious} = xsd_reference_log(Config),
+ AllErrs = ErrsST ++ ErrsIT,
+ AllMals = MalST ++ MalIT,
+ %% test cases failed now but succeeded in reference results.
+ NewFailures = [X||X<-AllErrs, lists:member(X,RefFailed)==false],
+ %% test cases succeeded now but failed in reference results.
+ NewSucceeds = [X||X<-RefFailed,lists:member(X,AllErrs)==false],
+ %% test cases malicious now but succeeded in reference results.
+ NewMalicious = [X||X<-AllMals, lists:member(X,RefMalicious)==false],
+ %% test cases succeeded now but malicious in reference results.
+ NewNotMal = [X||X<-RefMalicious, lists:member(X,AllMals) == false],
+ write_in_log(Config,AllErrs,AllMals),
+% io:format("process_reference_results:~n AllErrs = ~p~n NewFailures = ~p~n",[AllErrs,NewFailures]),
+ {NewFailures,NewSucceeds,NewMalicious,NewNotMal}.
+
+xsd_reference_log(Config) ->
+ DataDir = ?config(data_dir,Config),
+ Suite = ?config(suite,Config),
+ SuiteReferenceLog =
+ filename:join([DataDir,lists:concat([Suite,"_failed_cases.log"])]),
+ case file:consult(SuiteReferenceLog) of
+ {ok,List} when is_list(List) ->
+ case lists:keysearch(?config(testcase,Config),1,List) of
+ {value,{_,TCRefFails}} ->
+ TCRefFails;
+ _ ->
+ {[],[]}
+ end;
+ _ ->
+ {[],[]}
+ end.
+
+write_in_log(_Config,[],[]) ->
+ ok;
+write_in_log(Config,AllErrs,AllMals) ->
+ ?line LogFileName = ?config(xmerl_error_log,Config),
+ {ok,IO}=file:open(LogFileName,[append]),
+ ?line TestCase = ?config(testcase,Config),
+ io:format(IO,"{~p,{~p,~p}}.~n",[TestCase,AllErrs,AllMals]),
+ file:close(IO),
+ ok.
+
+schema_test(Config,FileName,XsdBase,Validity) ->
+ ModuleName = filename:basename(FileName),
+ DataDir = ?config(data_dir,Config),
+ case xmerl_xsd:process_schema(filename:join([DataDir, FileName]),[{xsdbase,filename:join([DataDir,XsdBase])}]) of
+ {error,enoent} ->
+ {{ModuleName,enoent},#xsd_state{}};
+ {Ok,S} ->
+ case Validity of
+ valid when Ok == ok ->
+%% io:format("schema_test1: Validity=valid,Ok=ok,S=~p~n",[S]),
+ {{ModuleName,S#xsd_state.errors == []},S};
+ invalid when Ok == error -> %% S is in this case an error reason
+ {{ModuleName,no_internal_error(S)},#xsd_state{}};
+ notKnown ->
+ {{ModuleName,true},#xsd_state{}};
+ valid ->
+ io:format("schema_test2: Validity=valid,Ok=~p,S=~p~n",[Ok,S]),
+%% io:format("FileName: ~p~n",[FileName]),
+ {{ModuleName,false},#xsd_state{}};
+ _ -> %% invalid Ok == ok
+ io:format("schema_test3: Validity=~p,Ok=~p,S=~p~n",[Validity,Ok,S]),
+ {{ModuleName,false},S}
+ end
+ end.
+schema_test(Config,FileName,XsdBase,Validity,AccState) ->
+ ModuleName = filename:basename(FileName),
+ DataDir = ?config(data_dir,Config),
+ case xmerl_xsd:process_schema(filename:join([DataDir,FileName]),
+ [{xsdbase,filename:join([DataDir,XsdBase])}, AccState]) of
+ {error,enoent} ->
+ {{ModuleName,enoent},AccState};
+ {Ok,S} ->
+ case Validity of
+ valid when Ok == ok ->
+ {{ModuleName,S#xsd_state.errors == []},S};
+ invalid when Ok == error ->
+ {{ModuleName,no_internal_error(S)},AccState};
+ notKnown ->
+ {{ModuleName,true},AccState};
+ valid ->
+ {{ModuleName,false},AccState};
+ _ ->
+ {{ModuleName,false},S}
+ end
+ end.
+instance_test(Config,FileName,XMLBase,Validity,State) ->
+ ModuleName = filename:basename(FileName),
+ DataDir = ?config(data_dir,Config),
+ case xmerl_scan:file(filename:join([DataDir,FileName]),
+ [{xmlbase,filename:join([DataDir,XMLBase])}]) of
+ {error,enoent} ->
+ {ModuleName,enoent};
+ {E,_} ->
+ {VE,S2} = xmerl_xsd:validate(E,State),
+ case Validity of
+ valid when is_record(VE,xmlElement) ->
+ case S2#xsd_state.errors of
+ [] -> ok;
+ _ -> io:format("test case ~p failed.~nValidity: ~p~nValidation result:~p~n",[FileName,Validity,VE])
+ end,
+ {ModuleName,S2#xsd_state.errors == []};
+ invalid when VE == error ->
+ {ModuleName,no_internal_error(S2)};
+ notKnown ->
+ {ModuleName,true};
+ _ ->
+ io:format("test case ~p failed.~nValidity: ~p~nValidation result:~p~n",[FileName,Validity,VE]),
+ {ModuleName,false}
+ end
+ end.
+
+no_internal_error(R) ->
+ case lists:keymember(internal_error,1,R) of
+ true ->
+ false;
+ _ ->
+ true
+ end.
+
+unpack(Config,Suite) ->
+ TarFile = suite_tar(Suite),
+ ?line file:set_cwd(?config(data_dir,Config)),
+ ?line ok=erl_tar:extract(TarFile,[compressed]),
+ change_mode(filename:rootname(TarFile,".tar.gz")).
+
+suite_tar(sun) ->
+ "suntest.tar.gz";
+suite_tar(msx) ->
+ "msxsdtest.tar.gz";
+suite_tar(nist) ->
+ "nisttest.tar.gz".
+
+change_mode(Files) ->
+ change_mode3(Files).
+change_mode2(Dir)->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ change_mode3(FileList),
+ ?line file:set_cwd(CWD).
+change_mode3([]) ->
+ ok;
+change_mode3([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ chmod(F),
+ change_mode2(F);
+ _ ->
+ chmod(F)
+ end,
+ change_mode3(Fs).
+
+chmod(F) ->
+ case file:read_file_info(F) of
+ {ok,FileInfo} ->
+ Mode= FileInfo#file_info.mode,
+ file:write_file_info(F,FileInfo#file_info{mode=8#00777 bor Mode});
+ _ ->
+ ok
+ end.
+
+rmdir(Config,Suite) ->
+ ?line file:set_cwd(?config(data_dir,Config)),
+ SuiteDir = filename:rootname(suite_tar(Suite),".tar.gz"),
+ ?line ok=rm_f_(SuiteDir).
+
+%% Dir is a directory
+rm_f_(Dir) ->
+ ?line {ok,CWD} = file:get_cwd(),
+ ?line {ok,FileList} = file:list_dir(Dir),
+ ?line file:set_cwd(filename:join([CWD,Dir])),
+ rm_files(FileList),
+ ?line file:set_cwd(CWD),
+ ? line ok = file:del_dir(Dir).
+
+rm_files([])->
+ ok;
+rm_files([F|Fs]) ->
+ case filelib:is_dir(F) of
+ true ->
+ rm_f_(F);
+ _ ->
+ io:format("rm_files: ~p~n",[F]),
+ ?line ok = file:delete(F)
+ end,
+ rm_files(Fs).
+
+create_error_log_file(Config,Suite) ->
+ ?line {{Y,M,D},{H,Min,S}} = calendar:local_time(),
+ DTString=lists:concat([Y,"-",M,"-",D,"_",H,".",Min,".",S]),
+ FileName = lists:concat([Suite,"_",DTString,".errorlog"]),
+%% ?line {ok,_IO} = file:open(filename:join([?config(priv_dir,Config),
+%% FileName]),[append]).
+
+%% ?line {ok,_IO} = file:open(FileName,[append]).
+ io:format("error log file: ~p~n",[filename:join([?config(priv_dir,Config),FileName])]),
+ {ok,filename:join([?config(priv_dir,Config),FileName])}.
+
+close_error_log_file(Config) ->
+ case lists:keysearch(xmerl_error_log,1,Config) of
+ {value,{_,IO}} ->
+ file:close(IO);
+ _ ->
+ ok
+ end.
diff --git a/lib/xmerl/test/xmlconf.xml b/lib/xmerl/test/xmlconf.xml
new file mode 100644
index 0000000000..c015218e2e
--- /dev/null
+++ b/lib/xmerl/test/xmlconf.xml
@@ -0,0 +1,53 @@
+<?xml version="1.0"?>
+<!--
+ @(#)conformance.xml 1.1 98/12/20
+ Original version copyright 1998 by Sun Microsystems, Inc.
+ All Rights Reserved.
+ Modifications copyright 1999 by OASIS.
+ Modifications copyright 2001 by OASIS.
+-->
+
+<?xml-stylesheet href="xmlconformance.xsl" type="text/xsl"?>
+
+<!DOCTYPE TESTSUITE SYSTEM "testcases.dtd" [
+
+ <!-- Sun-written testcases -->
+ <!ENTITY sun-valid SYSTEM "sun/sun-valid.xml">
+ <!ENTITY sun-invalid SYSTEM "sun/sun-invalid.xml">
+ <!ENTITY sun-not-wf SYSTEM "sun/sun-not-wf.xml">
+ <!ENTITY sun-error SYSTEM "sun/sun-error.xml">
+
+ <!-- James Clark "XMLTEST" -->
+ <!ENTITY jclark-xmltest SYSTEM "xmltest/xmltest.xml">
+
+ <!-- Fuji Xerox "Japanese Documents" -->
+ <!ENTITY xerox-japanese SYSTEM "japanese/japanese.xml">
+
+ <!-- NIST/OASIS test suite -->
+ <!ENTITY nist-oasis SYSTEM "oasis/oasis.xml">
+
+ <!-- IBM tests -->
+ <!ENTITY ibm-invalid SYSTEM "ibm/ibm_oasis_invalid.xml">
+ <!ENTITY ibm-not-wf SYSTEM "ibm/ibm_oasis_not-wf.xml">
+ <!ENTITY ibm-valid SYSTEM "ibm/ibm_oasis_valid.xml">
+]>
+
+<TESTSUITE PROFILE="XML 1.0 (2nd edition) W3C Conformance Test Suite, 6 October 2000">
+
+ &jclark-xmltest;
+ &xerox-japanese;
+
+<TESTCASES PROFILE="Sun Microsystems XML Tests" xml:base="sun/">
+ &sun-valid;
+ &sun-invalid;
+ &sun-not-wf;
+ &sun-error;
+</TESTCASES>
+
+ &nist-oasis;
+<TESTCASES PROFILE="IBM XML Tests" xml:base="ibm/">
+ &ibm-invalid;
+ &ibm-not-wf;
+ &ibm-valid;
+</TESTCASES>
+</TESTSUITE>
diff --git a/lib/xmerl/test/xmlconformance.msxsl b/lib/xmerl/test/xmlconformance.msxsl
new file mode 100644
index 0000000000..f33e647d25
--- /dev/null
+++ b/lib/xmerl/test/xmlconformance.msxsl
@@ -0,0 +1,527 @@
+<?xml version='1.0'?>
+<!--
+<<<<<<< conformance.msxsl
+ Original version copyright 1999 by Sun Microsystems, Inc.
+ All Rights Reserved.
+ Modifications copyright 1999 by OASIS.
+=======
+ XSL IE5 Stylesheet for documenting XML conformance tests.
+ Tested against IE5 5.00.2014.0216
+
+ 1999-06-05 20:10
+
+ XSL 12/16/1998 Stylesheet for documenting XML conformance tests.
+
+ This expects to be run on a document matching the DTD that Sun
+ defined and OASIS modified for merging collections of
+ self-descriptive XML tests.
+
+ Since all those collections will have (by design) the same test
+ architecture, this includes boilerplate describing that design,
+ to be used by all test documentation.
+
+ ISSUES:
+ - Sorting is a bit odd; section numbers can are like "3.3.3"
+ rather than straight numbers, so numeric sort can't work,
+ and yet neither does text sort (2.12 should be after 2.2).
+
+-->
+
+<xsl:stylesheet
+ xmlns:xsl="http://www.w3.org/TR/WD-xsl"
+ xmlns="http://www.w3.org/TR/WD-xhtml"
+ indent-result="yes"
+ >
+
+<!-- default behaviour - - - - - - - - - - - - - - - - - - - - - - - - - - -->
+
+<xsl:template><xsl:apply-templates/></xsl:template>
+<xsl:template match="textnode()"><xsl:value-of/></xsl:template>
+
+<!-- selective behaviour - - - - - - - - - - - - - - - - - - - - - - - - -->
+
+
+ <!-- ROOT: write an HTML wrapper -->
+ <xsl:template match="/">
+ <xsl:apply-templates select="TESTSUITE"/>
+ </xsl:template>
+
+ <xsl:template match="TESTSUITE">
+ <!-- XHTML namespace -->
+ <html><head>
+ <xsl:comment>Generated by an XSL stylesheet.</xsl:comment>
+ <title> XML Conformance Tests </title>
+ <meta http-equiv="Content-Type"
+ content="text/html;charset=utf-8"/>
+ <style>
+ <xsl:comment>
+ BODY {
+ font-family: Lucida, Helvetica, Univers, sans-serif;
+ }
+ H1, H2, H3, H4 {
+ text-align: left;
+ color: #0066CC;
+ }
+ </xsl:comment>
+ </style>
+ <style>
+ <xsl:comment>
+ a:hover {
+ color: white;
+ background-color: blue;
+ }
+ </xsl:comment>
+ </style>
+ </head><body bgcolor='#ffffff'>
+ <center>
+ <table border="4" width="90%">
+ <tr >
+ <td>
+ <table>
+ <tr >
+ <td width="40%"><img src="files/a_oasis-logo.gif" width="350" height="125"/></td>
+ <td width="30%"/>
+ <td width="40%" align="right"><img src="files/top3.jpe" width="400" height="125"/></td>
+ </tr>
+ </table>
+ <center>
+ <table>
+ <tr>
+ <td ><b><font size="+2">A Joint Development Effort</font></b></td>
+ </tr>
+ </table>
+ </center>
+ </td>
+ </tr>
+ </table>
+ </center>
+ <p/>
+
+ <p/>
+ <h4>OASIS XML Conformance Subcommittee<br/>XML 1.0 Test Suite, Second Edition<br/>Working Draft<br/>15 March 2001</h4>
+ <dl>
+ <dt><b>This version:</b></dt>
+ <dd><ul>
+ <li><a href="http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.htm">
+ http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.htm</a>
+ (<a href="http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.xml">XML</a>)
+ </li>
+ </ul>
+ </dd>
+ <p/>
+ <dt><b>Current Version:</b></dt>
+ <dd>
+ <ul>
+ <li><a href="http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf.xml">
+ http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf.xml</a></li>
+ </ul>
+ </dd>
+ <p/>
+ <dt><b>Previous Version:</b></dt>
+ <dd>
+ <ul>
+ <li><a href="http://www.oasis-open.org/committees/xml-conformance/suite-v1/xmlconf-19990712.xml">
+ http://www.oasis-open.org/committees/xml-conformance/suite-v1/xmlconf-19990712.xml</a></li>
+ </ul>
+ </dd>
+ <p/>
+ <dt><b>Test Archive:</b></dt>
+ <dd><ul>
+ <li><a href="http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.tar.gz">http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.tar.gz</a>
+ </li>
+ </ul></dd>
+ <p/>
+ <dt><b>OASIS XML Conformance Technical Committee:</b></dt>
+ <dd><ul><li><a href="http://www.oasis-open.org/committees/xml-conformance/">http://www.oasis-open.org/committees/xml-conformance/</a>
+ </li></ul></dd>
+ <p/>
+ <dt><b>Comments:</b></dt>
+ <dd><ul><li>Mary Brady, NIST <a href="mailto:[email protected]">&lt;[email protected]&gt;</a>
+ </li></ul></dd>
+ <p/>
+ </dl>
+ <hr/>
+
+ <a name="contents"/>
+ <h2>Table of Contents</h2>
+ <ol >
+ <li><a href="#intro">Introduction</a></li>
+ <li><a href="#matrix">Test Matrix</a></li>
+ <ol >
+ <li ><a href="#binary">Binary Tests</a></li>
+ <li><a href="#output">Output Tests</a></li>
+ </ol>
+ <li><a href="#listings">Test Case Descriptions</a></li>
+ <ol >
+ <li><a href="#valid">Valid Documents</a></li>
+ <li><a href="#invalid">Invalid Documents</a></li>
+ <li><a href="#not-wf">Not-WF Documents</a></li>
+ <li><a href="#error">Optional Errors</a></li>
+ </ol>
+ <li><a href="#contrib">Contributors</a></li>
+ </ol>
+ <a name="intro"/>
+ <h2>1. Introduction </h2>
+ <p> The <i>OASIS</i> XML Conformance Subcommittee is concerned with
+ improving the quality of XML processors. The tests described in this
+ document provide a set of metrics to determine how well a
+ particular implementation conforms to the
+ <a href="http://www.w3.org/TR/REC-xml">W3C XML 1.0 (Second Edition) Recommendation </a>.
+ The XML Conformance Test Suite is intended
+ to complement the W3C XML 1.0 (Second Edition) Recommendation. All interpretations of
+ this Recommendation are subject to confirmation by the
+ <a href="http://www.w3.org/XML/Activity.html">W3C XML Coordination Group</a>.
+ </p>
+ <p>
+ Conformance tests can be used by developers, content creators, and
+ users alike to increase their level of confidence in product quality. In
+ circumstances where interoperability is necessary, these tests can also
+ be used to determine that differing implementations support the same set
+ of features. </p>
+
+ <p>This report provides supporting documentation for all of the tests
+ contributed by members of the <i>OASIS</i> XML Conformance Subcommittee.
+ Sources from which these tests have been collected
+ include: <em>
+ <xsl:for-each select="./TESTCASES">
+ <xsl:value-of select="@PROFILE"/>;
+ </xsl:for-each>
+ </em>. Although the tests came from a variety of sources, the actual test
+ descriptions and references back to the recommendation were in many instances
+ added by members of this subcommittee. It is anticipated that this report
+ will supplement the actual tests, which are available from
+ <a href="http://www.oasis-open.org/committees/xml-conformance/xml-test-suite.html">
+ http://www.oasis-open.org/committees/xml-conformance/xml-test-suite.html</a>.</p>
+
+ <p>Comments/suggestions should be
+ forwarded to the XML Conformance Subcommittee Chair, Mary Brady <a href="mailto:[email protected]">&lt;[email protected]&gt;</a>.</p>
+
+
+ <a name="matrix"/>
+ <h2>2. Test Matrix </h2>
+
+ <p> Two basic types of test are presented here. These are
+ respectively <em><a href="#binary">Binary Tests</a></em>
+ and <em><a href="#output">Output Tests</a></em>. </p>
+
+ <a name="binary"/>
+ <h3>2.1 Binary Tests </h3>
+
+ <p> <em>Binary</em> conformance tests are documents which
+ are grouped into one of four categories. Given a document
+ in a given category, each kind of XML parser must treat it
+ consistently and either accept it (a <em>positive test</em>)
+ or reject it (a <em>negative test</em>). It is in that sense
+ that the tests are termed "binary". The XML 1.0 (Second Edition) Recommendation
+ talks in terms of two types of XML processor:
+ <em>validating</em> ones, and <em>nonvalidating</em> ones.
+ There are two differences between these types of processors: </p>
+
+ <ol>
+ <li> Validating processors check special productions that
+ nonvalidating parsers don't, called <em>validity
+ constraints</em>. (Both must check a basic set of productions,
+ requiring XML documents to be <em>well formed</em>.) </li>
+
+ <li> Nonvalidating processors are permitted to not
+ include <em>external entities</em>, such as files with
+ text. Accordingly, they may not report errors which
+ would have been detected had those entities been read.</li>
+ </ol>
+
+ <p> There are two types of such entity, <em>parameter
+ entities</em> holding definitions which affect validation
+ and other processing; and <em>general entities</em> which
+ hold marked up text. It will be appreciated that there are
+ then five kinds of XML processor: validating processors,
+ and four kinds of nonvalidating processor based on the
+ combinations of external entity which they include.</p>
+
+ <center>
+ <table border="1" bgcolor="#ffffff" cellpadding="4">
+
+ <caption>
+ <b>Basic XML Parsing Test Matrix</b><br/>
+ Test Document Type v. Parser Type
+ </caption>
+
+ <tr bgcolor="#ffffcc">
+ <th widthH="5%" rowspan="2">&#160;</th>
+ <th widthH="20%" colspan="2">Nonvalidating</th>
+ <th widthH="5%" rowspan="2">Validating</th>
+ </tr>
+
+ <tr bgcolor="#ffffcc">
+ <th>External Entities<br/>Ignored (3 cases)</th>
+ <th>External Entities<br/>Read</th>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Valid Documents</th>
+ <td align="center"><b>accept</b></td>
+ <td align="center"><b>accept</b></td>
+ <td align="center"><b>accept</b></td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Invalid Documents</th>
+ <td align="center"><b>accept</b></td>
+ <td align="center"><b>accept</b></td>
+ <td align="center">reject</td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Non-WF Documents</th>
+ <td align="center">reject</td>
+ <td align="center">reject</td>
+ <td align="center">reject</td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">WF Errors tied<br/>
+ to External Entity</th>
+ <td align="center"><b>accept</b><br/>(varies)</td>
+ <td align="center">reject</td>
+ <td align="center">reject</td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Documents with<br/> Optional Errors</th>
+ <td align="center">(not specified)</td>
+ <td align="center">(not specified)</td>
+ <td align="center">(not specified)</td>
+ </tr>
+
+ </table>
+ </center>
+
+ <p> At this time, the XML community primarily uses parsers
+ which are in the rightmost two columns of this table, calling
+ them <em>Well Formed XML Parsers</em> (or "WF Parsers") and
+ <em>Validating XML Parsers</em>. A second test matrix
+ could be defined to address the variations in the types of
+ of XML processor which do not read all external entities.
+ That additional matrix is not provided here at this time. </p>
+
+
+ <a name="output"/>
+ <h3>2.2 Output Tests</h3>
+
+ <p> The XML 1.0 (Second Edition) Recommendation places a number of requirements
+ on XML processors, to ensure that they report information to
+ applications as needed. Such requirements are testable.
+ Validating processors are required to report slightly more
+ information than nonvalidating ones, so some tests will
+ require separate output files. Some of the information that
+ must be reported will not be reportable without reading all
+ the external entities in a particular test. Many of the tests for
+ valid documents are paired with an output file to ensure that
+ the XML processor provides the correct information. </p>
+
+ <p>The output of these tests is provided in two forms, as
+ described in <a href="sun/cxml.html">SUN Microsystems XML
+ Canonical Forms</a>. At present, the <em>James Clark</em>
+ collection provides corresponding output in <em>First XML
+ Canonical Form</em>, and the <em>SUN Microsystems</em>
+ collection provides corresponding output in <em>Second XML
+ Canonical Form</em>. When the <a href="http://www.w3.org/XML/">
+ W3C XML Group </a>finalizes its work on Canonical XML, these
+ output files will be updated.
+ </p>
+
+ <a name="listings"/>
+ <h2>3. Test Case Descriptions</h2>
+
+ <p> This section of this report contains descriptions of test
+ cases, each of which fits into the categories noted above.
+ Each test case includes a document of one of the types in the
+ binary test matrix above (e.g. valid or invalid documents).
+ </p>
+
+ <p> In some cases, an <a href="#output">output file </a>, as
+ described in Section 2.2, will also be associated with
+ a valid document, which is used for output testing. If such
+ a file exists, it will be noted at the end of the description
+ of the input document. </p>
+
+ <p> The description for each test case is presented as a two
+ part table. The right part describes what the test does.
+ This description is intended to have enough detail to evaluate
+ diagnostic messages. The left part includes: <ul>
+
+ <li> An entry describing the <em>Sections and/or Rules</em>
+ from the <a href="http://www.w3.org/TR/2000/REC-xml-20001006">
+ XML 1.0 (Second Edition) Recommendation</a> which this case excercises.</li>
+
+ <li> The unique <em>Test ID</em> within a given <em>Collection</em>
+ for this test. </li>
+
+ <li> The <em>Collection</em> from which this test originated.
+ Given the <em>Test ID</em> and the <em>Collection</em>, each
+ test can be uniquely identified. </li>
+
+ <li> Some tests may have a field identifying the kinds of
+ external <em>Entities</em> a nonvalidating processor must
+ include (parameter, general, or both) to be able to
+ detect any errors in that test case. </li>
+
+ </ul></p>
+
+ <p><em>Note that the output format of this report is subject
+ to change. Also, since XSL does not currently support the
+ type of sorting rule necessary to make section numbers like
+ 2.12 appear after 2.2, the ordering is not quite what is
+ desired.</em></p>
+
+ <a name="valid"/>
+ <h3>3.1 Valid XML Documents</h3>
+
+ <p> All conforming <em> XML 1.0 Processors </em> are
+ <b>required</b> to accept valid documents, reporting no
+ errors. In this section of this test report are found
+ descriptions of test cases which fit into this category. </p>
+
+ <xsl:apply-templates select=".// TEST [ @TYPE = 'valid' ]"
+ order-by="@SECTIONS"/>
+
+ <a name="invalid"/>
+ <h3>3.2 Invalid XML Documents</h3>
+
+ <p> All conforming XML 1.0 <em> Validating Processors </em>
+ are <b>required</b> to report recoverable errors in the case
+ of documents which are <em>Invalid</em>. Such errors are
+ violations of some <em>validity constraint (VC)</em>. </p>
+
+ <p> If a validating processor does not report an error when
+ given one of these test cases, or if the error reported is
+ a fatal error, it is not conformant. If the error reported
+ does not correspond to the problem listed in this test
+ description, that could also be a conformance problem; it
+ might instead be a faulty diagnostic. </p>
+
+ <p> All conforming XML 1.0 <em> Nonvalidating Processors </em>
+ should accept these documents, reporting no errors. </p>
+
+ <xsl:apply-templates select=".//TEST[@TYPE='invalid']"
+ order-by="@SECTIONS"/>
+
+ <a name="not-wf"/>
+ <h3>3.3 Documents that are Not Well Formed</h3>
+
+ <p> All conforming XML 1.0 Processors are <b>required</b> to
+ report fatal errors in the case of documents which are not
+ <em>Well Formed</em>. Such errors are basically of two types:
+ <em>(a)</em> the document violates the XML grammar; or else
+ <em>(b)</em> it violates a <em>well formedness constraint
+ (WFC)</em>. There is a single <em>exception to that
+ requirement</em>: nonvalidating processors which do not read
+ certain types of external entities are not required to detect
+ (and hence report) these errors. </p>
+
+ <p> If a processor does not report a fatal error when given
+ one of these test cases, it is not conformant. If the error
+ reported does not correspond to the problem listed in this
+ test description, that could also be a conformance problem;
+ it might instead be a faulty diagnostic. </p>
+
+ <xsl:apply-templates select=".// TEST [ @TYPE = 'not-wf' ]"
+ order-by="@SECTIONS"/>
+
+ <a name="error"/>
+ <h3>3.4 XML Documents with Optional Errors</h3>
+
+ <p> Conforming XML 1.0 Processors are permitted to ignore
+ certain errors, or to report them at user option. In this
+ section of this test report are found descriptions of
+ test cases which fit into this category. </p>
+
+ <p> Processor behavior on such test cases does not affect
+ conformance to the XML 1.0 (Second Edition) Recommendation, except as noted. </p>
+
+ <xsl:apply-templates select=".//TEST[@TYPE='error']"
+ order-by="@SECTIONS"/>
+
+ <a name="contrib"/>
+ <h3>4. Contributors (Non-normative)</h3>
+
+ <p> A team of volunteer members have participated in the
+ development of this work. Contributions have come from:
+ </p>
+ <ul>
+ <li>Murry Altheim, Sun Microsystems</li>
+ <li>Mary Brady, NIST</li>
+ <li>Tim Boland, NIST</li>
+ <li>David Brownell, Sun Microsystems</li>
+ <li>James Clark</li>
+ <li>Karin Donker, IBM</li>
+ <li>Irina Golfman, Inera Incorporated</li>
+ <li>Tony Graham, Mulberry Technologies</li>
+ <li>G. Ken Holman, Crane Softwrights Ltd</li>
+ <li>Alex Milowski, Veo Systems, Inc</li>
+ <li>Makota Murata, Fuji Xerox</li>
+ <li>Miles O'Reilly, Microstar Software, Ltd</li>
+ <li>Matt Timmermans, Microstar Software, Ltd</li>
+ <li>Richard Rivello, NIST</li>
+ <li>Lynne Rosenthal, NIST</li>
+ <li>Brian Schellar, Chrystal Software</li>
+ <li>Bill Smith, Sun Microsystems</li>
+ <li>Trevor Veary, Software AG</li>
+ <li>Eric Ye, IBM</li>
+ </ul>
+ <p>End</p>
+
+ </body></html>
+ </xsl:template>
+
+ <xsl:template match="TEST">
+ <table width="100%">
+ <tr valign="top">
+ <td width='40%'><table bgcolor='#eeeeff'
+ border='1' width='100%' height="100%">
+ <tr>
+ <td width='50%'><b>Sections [Rules]:</b></td>
+ <td bgcolor='#ffffcc'>
+ <xsl:value-of select="@SECTIONS"/></td>
+ </tr>
+ <tr valign="top">
+ <td width='50%'><b>Test ID:</b></td>
+ <td bgcolor='#ffffcc'>
+ <xsl:value-of select="@ID"/></td>
+ </tr>
+ <xsl:if test=".[not ( @ENTITIES = 'none')
+ and ( @TYPE = 'not-wf' )]">
+ <tr valign="top">
+ <td width='50%'><b>Entities:</b></td>
+ <td bgcolor='#ffffcc'><font color='blue'>
+ <xsl:value-of select="@ENTITIES"/>
+ </font></td>
+ </tr>
+ </xsl:if>
+ <xsl:if test="../@PROFILE">
+ <tr valign="top">
+ <td width='50%'><b>Collection:</b></td>
+ <td bgcolor='#ffffcc'>
+ <xsl:value-of select="../@PROFILE"/>
+ </td>
+ </tr>
+ </xsl:if>
+ </table></td>
+ <td bgcolor='#ccffff'>
+ <p><xsl:apply-templates/></p>
+ <xsl:if test="@OUTPUT | @OUTPUT3">
+ <p>There is an output test associated with this
+ input file.</p>
+ </xsl:if>
+ </td>
+ </tr>
+ </table>
+ </xsl:template>
+
+ <!-- XT takes 'B|EM' and xsl:copy, IE5b2 doesn't -->
+ <xsl:template match="EM">
+ <em><xsl:apply-templates/></em>
+ </xsl:template>
+ <xsl:template match="B">
+ <b><xsl:apply-templates/></b>
+ </xsl:template>
+</xsl:stylesheet>
diff --git a/lib/xmerl/test/xmlconformance.xsl b/lib/xmerl/test/xmlconformance.xsl
new file mode 100644
index 0000000000..fd1acb3d82
--- /dev/null
+++ b/lib/xmerl/test/xmlconformance.xsl
@@ -0,0 +1,498 @@
+<?xml version='1.0'?>
+<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN"
+
+ "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
+
+<!--
+<<<<<<< conformance.xsl
+ Original version copyright 1999 by Sun Microsystems, Inc.
+ All Rights Reserved.
+ Modifications copyright 1999 by OASIS.
+ Modifications copyright 2001 by OASIS.
+ Modifications copyright 2002 by W3C.
+=======
+ XSL Stylesheet for documenting XML conformance tests.
+ Tested against LOTUS Xalan processor.
+>>>>>>> 1.3
+
+ XSL 2001-03-15 Stylesheet for documenting XML conformance tests.
+
+ This expects to be run on a document matching the DTD that Sun
+ defined for merging collections of self-descriptive XML tests.
+
+ Since all those collections will have (by design) the same test
+ architecture, this includes boilerplate describing that design,
+ to be used by all test documentation.
+
+ ISSUES:
+ - Sorting is a bit odd; section numbers can are like "3.3.3"
+ rather than straight numbers, so numeric sort can't work,
+ and yet neither does text sort (2.12 should be after 2.2).
+-->
+
+
+<xsl:stylesheet xmlns:xsl="http://www.w3.org/1999/XSL/Transform" version="1.0">
+ <xsl:output method="html" indent="yes"/>
+
+ <!-- ROOT: write an HTML wrapper -->
+ <xsl:template match="/TESTSUITE">
+ <!-- XHTML namespace -->
+
+ <html xmlns="http://www.w3.org/1999/xhtml"><head>
+ <title> XML Conformance Tests </title>
+ <meta http-equiv="Content-Type"
+ content="text/html;charset=utf-8"/>
+ <style type="text/css">
+
+ tr.premiere { background-color: #BEDCE6; }
+
+ th { text-align: left; vertical-align: top }
+
+ .editor { color: red; }
+
+ .countdown { color: white; background-color: red; }
+
+ blockquote, q { font-family: Arial, Helvetica, sans-serif; }
+
+ .quote { font-family: Arial, Helvetica, sans-serif; }
+
+ pre.quote { margin-left: 2.5em; }
+
+ ol.quote { font-family: Arial, Helvetica, sans-serif; margin-left: 3em; }
+
+ .diff-add { background-color: yellow; }
+
+ .diff-chg { background-color: lime; }
+
+ .diff-del { text-decoration: line-through; }
+
+ </style>
+
+ </head><body bgcolor='#ffffff'>
+ <p><a href="http://www.w3.org/"><img src="http://xw2k.sdct.itl.nist.gov/martinez/xmlts/2001/XML-Test-Suite/xmlconf/files/w3c_home.gif"
+
+ alt="W3C" height="48" width="72" border="0"/></a><a href="http://www.w3.org/Architecture/"
+
+ rel="in-domain"><img src="http://xw2k.sdct.itl.nist.gov/martinez/xmlts/2001/XML-Test-Suite/xmlconf/files/arquitecture.bmp" alt="Architecture Domain" border="0"/></a> <a
+
+ href="../" rel="in-area"> XML</a> | <a href="/Member/#confidential">Member-Confidential!</a></p>
+
+ <h1 align="center">XML 1.0 (Second Edition) errata 20020320,</h1>
+ <h1 align="center">W3C Conformance Test Suite 20020606</h1> <dl>
+
+
+ <dt><b>This version:</b></dt>
+ <dd><ul>
+ <li><p><a href="xmlconf-20020606.htm">
+ http://www.w3.org/XML/Test/xmlconf-20020606.htm</a>
+ (<a href="xmlconf-20020606.xml">XML</a>)</p>
+ </li>
+ </ul>
+ </dd>
+
+ <dt><b>Current Version:</b></dt>
+ <dd>
+ <ul>
+ <li><p><a href="xmlconf-20020606.xml">
+ http://www.w3.org/XML/Test/xmlconf-20020606.xml</a></p></li>
+ </ul>
+ </dd>
+
+ <dt><b>Previous Version:</b></dt>
+ <dd>
+ <ul>
+ <li><p><a href="http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.htm">
+ http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.htm</a>
+ (<a href="http://www.oasis-open.org/committees/xml-conformance/suite-v1se/xmlconf-20010315.xml">XML</a>)</p></li>
+ </ul>
+ </dd>
+
+ <dt><b>Test Archive:</b></dt>
+ <dd><ul>
+ <li><p><a href="xmlts20020606.zip">http://www.w3.org/XML/Test/xmlts20020606.zip</a>
+ </p></li>
+ <li><p><a href="xmlts20020606.tar">http://www.w3.org/XML/Test/xmlts20020606.tar</a>
+ </p></li>
+ </ul></dd>
+
+ <dt><b>W3C XML Core Working Group:</b></dt>
+ <dd><ul><li><p><a href="http://www.w3.org/XML/Group/Core">http://www.w3.org/XML/Group/Core</a>
+ </p></li></ul></dd>
+
+ <dt><b>Comments:</b></dt>
+ <dd><ul><li>Sandra I. Martinez, NIST <a href="mailto:[email protected]"> [email protected]</a>
+ </li></ul></dd>
+
+ </dl>
+
+ <a name="contents"/>
+ <h2>Table of Contents</h2>
+ <ol >
+ <li><a href="#intro">Introduction</a></li>
+ <li><a href="#matrix">Test Matrix</a></li>
+ <ol >
+ <li ><a href="#binary">Binary Tests</a></li>
+ <li><a href="#output">Output Tests</a></li>
+ </ol>
+ <li><a href="#listings">Test Case Descriptions</a></li>
+ <ol >
+ <li><a href="#valid">Valid Documents</a></li>
+ <li><a href="#invalid">Invalid Documents</a></li>
+ <li><a href="#not-wf">Not-WF Documents</a></li>
+ <li><a href="#error">Optional Errors</a></li>
+ </ol>
+ <li><a href="#contrib">Contributors</a></li>
+ </ol>
+ <a name="intro"/>
+ <h2>1. Introduction </h2>
+ <p>
+ The tests described in this document provide an initial set of metrics to determine how well a
+ particular implementation conforms to the
+ <a href="http://www.w3.org/TR/REC-xml">W3C XML 1.0 (Second Edition) Recommendation</a>.
+ The XML Conformance Test Suite is intended
+ to complement the W3C XML 1.0 (Second Edition) Recommendation. All interpretations
+ of this Recommendation are subject to confirmation by the
+ <a href="http://www.w3.org/XML/">
+ W3C XML Group </a>.
+ </p>
+ <p>
+ Conformance tests can be used by developers, content creators, and
+ users alike to increase their level of confidence in product quality. In
+ circumstances where interoperability is necessary, these tests can also
+ be used to determine that differing implementations support the same set
+ of features. </p>
+
+ <p>The XML Test Suite was transferred from OASIS to W3C and is being augmented to reflect the
+ current work of the W3C XML Core Working Group, including
+ <a href="http://www.w3.org/XML/Group/2002/02/xml10-test-suite-issues">resolved issues</a> related to the
+ Recommendation and published Errata. This report provides supporting documentation for all the tests included in
+ the test suite. Sources from which these tests have been collected
+ include: <em>
+ <xsl:for-each select="TESTCASES">
+ <xsl:value-of select="@PROFILE"/>
+ <xsl:text>; </xsl:text>
+ </xsl:for-each>
+ </em>. </p>
+
+ <a name="matrix"/>
+ <h2>2. Test Matrix </h2>
+
+ <p> Two basic types of test are presented here. These are
+ respectively <em><a href="#binary">Binary Tests</a></em>
+ and <em><a href="#output">Output Tests</a></em>. </p>
+
+ <a name="binary"/>
+ <h3>2.1 Binary Tests </h3>
+
+ <p> <em>Binary</em> conformance tests are documents which
+ are grouped into one of four categories. Given a document
+ in a given category, each kind of XML parser must treat it
+ consistently and either accept it (a <em>positive test</em>)
+ or reject it (a <em>negative test</em>). It is in that sense
+ that the tests are termed "binary". The XML 1.0 (Second Edition) Recommendation
+ talks in terms of two types of XML processor:
+ <em>validating</em> ones, and <em>nonvalidating</em> ones.
+ There are two differences between these types of processors: </p>
+
+ <ol>
+ <li> Validating processors check special productions that
+ nonvalidating parsers don't, called <em>validity
+ constraints</em>. (Both must check a basic set of productions,
+ requiring XML documents to be <em>well formed</em>.) </li>
+
+ <li> Nonvalidating processors are permitted to not
+ include <em>external entities</em>, such as files with
+ text. Accordingly, they may not report errors which
+ would have been detected had those entities been read.</li>
+ </ol>
+
+ <p> There are two types of such entity, <em>parameter
+ entities</em> holding definitions which affect validation
+ and other processing; and <em>general entities</em> which
+ hold marked up text. It will be appreciated that there are
+ then five kinds of XML processor: validating processors,
+ and four kinds of nonvalidating processor based on the
+ combinations of external entity which they include.</p>
+
+ <center>
+ <table border="1" bgcolor="#ffffff" cellpadding="4">
+
+ <caption>
+ <b>Basic XML Parsing Test Matrix</b><br/>
+ Test Document Type v. Parser Type
+ </caption>
+
+ <tr bgcolor="#ffffcc">
+ <th widthH="5%" rowspan="2"><xsl:text> </xsl:text></th>
+ <th widthH="20%" colspan="2">Nonvalidating</th>
+ <th widthH="5%" rowspan="2">Validating</th>
+ </tr>
+
+ <tr bgcolor="#ffffcc">
+ <th>External Entities<br/>Ignored (3 cases)</th>
+ <th>External Entities<br/>Read</th>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Valid Documents</th>
+ <td align="center"><b>accept</b></td>
+ <td align="center"><b>accept</b></td>
+ <td align="center"><b>accept</b></td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Invalid Documents</th>
+ <td align="center"><b>accept</b></td>
+ <td align="center"><b>accept</b></td>
+ <td align="center">reject</td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Non-WF Documents</th>
+ <td align="center">reject</td>
+ <td align="center">reject</td>
+ <td align="center">reject</td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">WF Errors tied<br/>
+ to External Entity</th>
+ <td align="center"><b>accept</b><br/>(varies)</td>
+ <td align="center">reject</td>
+ <td align="center">reject</td>
+ </tr>
+
+ <tr>
+ <th bgcolor="#ffffcc">Documents with<br/> Optional Errors</th>
+ <td align="center">(not specified)</td>
+ <td align="center">(not specified)</td>
+ <td align="center">(not specified)</td>
+ </tr>
+
+ </table>
+ </center>
+
+ <p> At this time, the XML community primarily uses parsers
+ which are in the rightmost two columns of this table, calling
+ them <em>Well Formed XML Parsers</em> (or "WF Parsers") and
+ <em>Validating XML Parsers</em>. A second test matrix
+ could be defined to address the variations in the types of
+ of XML processor which do not read all external entities.
+ That additional matrix is not provided here at this time. </p>
+
+
+ <a name="output"/>
+ <h3>2.2 Output Tests</h3>
+
+ <p> The XML 1.0 (Second Edition) Recommendation places a number of requirements
+ on XML processors, to ensure that they report information to
+ applications as needed. Such requirements are testable.
+ Validating processors are required to report slightly more
+ information than nonvalidating ones, so some tests will
+ require separate output files. Some of the information that
+ must be reported will not be reportable without reading all
+ the external entities in a particular test. Many of the tests for
+ valid documents are paired with an output file as the canonical
+ representation of the input file, to ensure that the XML
+ processor provides the correct information. </p>
+
+ <a name="listings"/>
+ <h2>3. Test Case Descriptions</h2>
+
+ <p> This section of this report contains descriptions of test
+ cases, each of which fits into the categories noted above.
+ Each test case includes a document of one of the types in the
+ binary test matrix above (e.g. valid or invalid documents).
+ </p>
+
+ <p> In some cases, an <a href="#output">output file </a>, as
+ described in Section 2.2, will also be associated with
+ a valid document, which is used for output testing. If such
+ a file exists, it will be noted at the end of the description
+ of the input document. </p>
+
+ <p> The description for each test case is presented as a two
+ part table. The right part describes what the test does.
+ This description is intended to have enough detail to evaluate
+ diagnostic messages. The left part includes: <ul>
+
+ <li> An entry describing the <em>Sections and/or Rules</em>
+ from the <a href="http://www.w3.org/TR/2000/REC-xml-20001006">
+ XML 1.0 (Second Edition) Recommendation</a> which this case excercises.</li>
+
+ <li> The unique <em>Test ID</em> within a given <em>Collection</em>
+ for this test. </li>
+
+ <li> The <em>Collection</em> from which this test originated.
+ Given the <em>Test ID</em> and the <em>Collection</em>, each
+ test can be uniquely identified.</li>
+
+ <li> Some tests may have a field identifying the kinds of
+ external <em>Entities</em> a nonvalidating processor must
+ include (parameter, general, or both) to be able to
+ detect any errors in that test case. </li>
+
+ </ul></p>
+
+ <a name="valid"/>
+ <h3>3.1 Valid XML Documents</h3>
+
+ <p> All conforming <em> XML 1.0 Processors </em> are
+ <b>required</b> to accept valid documents, reporting no
+ errors. In this section of this test report are found
+ descriptions of test cases which fit into this category. </p>
+
+ <xsl:apply-templates select="//TEST[@TYPE='valid']">
+ <xsl:sort select="@SECTIONS"/>
+ </xsl:apply-templates>
+
+ <a name="invalid"/>
+ <h3>3.2 Invalid XML Documents</h3>
+
+ <p> All conforming XML 1.0 <em> Validating Processors </em>
+ are <b>required</b> to report recoverable errors in the case
+ of documents which are <em>Invalid</em>. Such errors are
+ violations of some <em>validity constraint (VC)</em>. </p>
+
+ <p> If a validating processor does not report an error when
+ given one of these test cases, or if the error reported is
+ a fatal error, it is not conformant. If the error reported
+ does not correspond to the problem listed in this test
+ description, that could also be a conformance problem; it
+ might instead be a faulty diagnostic. </p>
+
+ <p> All conforming XML 1.0 <em> Nonvalidating Processors </em>
+ should accept these documents, reporting no errors. </p>
+
+ <xsl:apply-templates select="//TEST[@TYPE='invalid']">
+ <xsl:sort select="@SECTIONS"/>
+ </xsl:apply-templates>
+
+ <a name="not-wf"/>
+ <h3>3.3 Documents that are Not Well Formed</h3>
+
+ <p> All conforming XML 1.0 Processors are <b>required</b> to
+ report fatal errors in the case of documents which are not
+ <em>Well Formed</em>. Such errors are basically of two types:
+ <em>(a)</em> the document violates the XML grammar; or else
+ <em>(b)</em> it violates a <em>well formedness constraint
+ (WFC)</em>. There is a single <em>exception to that
+ requirement</em>: nonvalidating processors which do not read
+ certain types of external entities are not required to detect
+ (and hence report) these errors. </p>
+
+ <p> If a processor does not report a fatal error when given
+ one of these test cases, it is not conformant. If the error
+ reported does not correspond to the problem listed in this
+ test description, that could also be a conformance problem;
+ it might instead be a faulty diagnostic. </p>
+
+ <xsl:apply-templates select="//TEST[@TYPE='not-wf']">
+ <xsl:sort select="@SECTIONS"/>
+ </xsl:apply-templates>
+
+ <a name="error"/>
+ <h3>3.4 XML Documents with Optional Errors</h3>
+
+ <p> Conforming XML 1.0 Processors are permitted to ignore
+ certain errors, or to report them at user option. In this
+ section of this test report are found descriptions of
+ test cases which fit into this category. </p>
+
+ <p> Processor behavior on such test cases does not affect
+ conformance to the XML 1.0 (Second Edition) Recommendation, except as noted. </p>
+
+ <xsl:apply-templates select="//TEST[@TYPE='error']">
+ <xsl:sort select="@SECTIONS"/>
+ </xsl:apply-templates>
+
+ <a name="contrib"/>
+ <h3>4. Contributors (Non-normative)</h3>
+
+ <p> A team of volunteer members have participated in the
+ development of this work. Contributions have come from:
+ </p>
+ <ul>
+ <li>Murry Altheim, Sun Microsystems</li>
+ <li>Mary Brady, NIST</li>
+ <li>Tim Boland, NIST</li>
+ <li>David Brownell, Sun Microsystems</li>
+ <li>James Clark</li>
+ <li>Karin Donker, IBM</li>
+ <li>Irina Golfman, Inera Incorporated</li>
+ <li>Tony Graham, Mulberry Technologies</li>
+ <li>G. Ken Holman, Crane Softwrights Ltd</li>
+ <li>Alex Milowski, Veo Systems, Inc</li>
+ <li>Makota Murata, Fuji Xerox</li>
+ <li>Miles O'Reilly, Microstar Software, Ltd</li>
+ <li>Matt Timmermans, Microstar Software, Ltd</li>
+ <li>Richard Rivello, NIST</li>
+ <li>Lynne Rosenthal, NIST</li>
+ <li>Brian Schellar, Chrystal Software</li>
+ <li>Bill Smith, Sun Microsystems</li>
+ <li>Trevor Veary, Software AG</li>
+ <li>Richard Tobin, University of Edinburgh</li>
+ <li>Jonathan Marsh, Microsoft </li>
+ <li>Daniel Veillard, Imaq</li>
+ <li>Jonathan Marsh, Microsoft</li>
+ <li>Paul Grosso, Arbortext</li>
+
+ </ul>
+
+ <p>End</p>
+ </body></html>
+ </xsl:template>
+
+ <xsl:template match="TEST">
+ <table width="100%">
+ <tr valign="top">
+ <td width='40%'><table bgcolor='#eeeeff'
+ border='1' width='100%' height="100%">
+ <tr>
+ <td width='50%'><b>Sections [Rules]:</b></td>
+ <td bgcolor='#ffffcc'>
+ <xsl:value-of select="@SECTIONS"/></td>
+ </tr>
+ <tr valign="top">
+ <td width='50%'><b>Test ID:</b></td>
+ <td bgcolor='#ffffcc'>
+ <xsl:value-of select="@ID"/></td>
+ </tr>
+ <xsl:if test="not ( @ENTITIES = 'none')
+ and ( @TYPE = 'not-wf' )">
+ <tr valign="top">
+ <td width='50%'><b>Entities:</b></td>
+ <td bgcolor='#ffffcc'><font color='blue'>
+ <xsl:value-of select="@ENTITIES"/>
+ </font></td>
+ </tr>
+ </xsl:if>
+ <xsl:if test="../@PROFILE">
+ <tr valign="top">
+ <td width='50%'><b>Collection:</b></td>
+ <td bgcolor='#ffffcc'>
+ <xsl:value-of select="../@PROFILE"/>
+ </td>
+ </tr>
+ </xsl:if>
+ </table></td>
+ <td bgcolor='#ccffff'>
+ <p>
+ <xsl:apply-templates/></p>
+ <xsl:if test="@OUTPUT | @OUTPUT3">
+ <p>There is an output test associated with this
+ input file.</p>
+ </xsl:if>
+ </td>
+ </tr>
+ </table>
+ </xsl:template>
+
+ <!-- XT takes 'B|EM' and xsl:copy, IE5b2 doesn't -->
+ <xsl:template match="EM">
+ <em><xsl:apply-templates/></em>
+ </xsl:template>
+ <xsl:template match="B">
+ <b><xsl:apply-templates/></b>
+ </xsl:template>
+</xsl:stylesheet>